vivado case_【vivado(3)】序列检测/状态机

本文档详细介绍了如何在Vivado中创建一个序列检测器工程,包括建立工程、设计源代码、仿真源代码的创建,以及如何进行仿真运行。在仿真过程中,针对Din信号进行了ASCII进制的设置,并展示了当复位信号无效、数据有效控制位变化时,检测到'welcome'序列3次的结果。
摘要由CSDN通过智能技术生成

手机上不好看可用电脑看

(1)首先建立一个工程名叫seq_det:

详细步骤可查看:

【vivado(1)】vivado软件的简单使用

【vivado(2)】计数器/testbench仿真

bda35bff0dba3484d36f760cd017c291.png

(2)建立一个design source如下所示:

右击design source,

选择add or create design source,

然后next

132cf09b1852f75f04b57661603d26e3.png

选择create file,

然后输入file name,点击finish

e5b715f5d0ad1be77e31f1793a35df3f.png

不对输入、输出做要求

选择cancel,然后yes

49c7b7a33675ae31ddcad9bd608beea4.png

建立后如下所示:

0b9ed591bcce35b501f4a0cfa9b0f25a.png

双击编辑,输入

有详细注释,其他问题请留言或后台滴滴:

`timescale 1ns / 1ns//---------------------------------------//  状态机之序列检测 welcom//---------------------------------------module FSM(        Clk,                //时钟        Rst_n,              //复位        Dv,                 //数据有效控制信号        Din,                //数据输入        Num                 //检测出的符合数据的个数    );    input  Clk,Dv,Rst_n;    input [7:0] Din;        //字符的位数是8位    // 共64个字符,检测有效的字符为连续的7位,    // 则num最大为9 -- [7*9=63],num用4位数据可以表示    output reg [3:0] Num;      reg [2:0] state;  
  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值