quartus利用锁相环产生时钟_锁相环(PLL)的IP核调取及应用详解

写在前面的话

梦翼师兄经常告诉大家,FPGA最明显的优势就是它的速度。那么本节,梦翼师兄和大家一起来学习FPGA片内时钟管理单元PLL(锁相环)的应用。利用锁相环,我们可以在一个很宽广的范围内实现任意的分频和倍频。使用锁相环,可以有效的减少我们在时钟发生部分的代码量,同时更重要的,利用锁相环的“全局时钟树”,可以保证很好的时钟质量。

项目需求

我们使用锁相环生成两路时钟,一路为25MHz,另外一路为100MHz。通过这种方式我们可以学会利用锁相环实现分频和倍频的基本操作。

操作步骤

启动Quartus15.0

a5a5ac14e78eacd41d0bf6c170b87798.png在界面的右侧的IP Catalog的搜索中键入pll,然后双击【ALTPLL】

6f548b2ae91e73384f253dc46f56b9e2.png选择语言为Verilog,给IP起一个名字,这里把它叫做my_pll

a92302fa7a826a422f8adac02d4135ad.png点击【ok】以后,界面将会进入pll设置向导中ÿ

  • 4
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值