verilog实现分频器总结

一、偶数分频

偶数分频可以通过计数器或者D触发器级联方式实现,使用计数器进行N倍(偶数)分频时,当计数器计数到(N-1)/2时进行反转一次。

always@(posedge clk or negedge rst_n)
    if(~rst_n)
        clk_cnt<=4'd0;
    else if(clk_cnt==(N/2-1))
        clk_cnt<=4'd0;
    else
        clk_cnt<=clk_cnt+1'b1;

always@(posedge clk or negedge rst_n)
    if(~rst_n)
        clk_div<=1'b0;
    else if(clk_cnt==(N/2-1))
        clk_div<=~clk_div;

D触发器级联

always@(posedge clk or negedge rst
  • 7
    点赞
  • 55
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值