altera环境搭建从0-1

使用modelsim-SE仿真教程:直接导入设计文件进行仿真,可参考:
https://blog.csdn.net/qq_57568327/article/details/130121971?ops_request_misc=&request_id=&biz_id=102&utm_term=verilog%20%E8%AE%A1%E6%95%B0%E5%99%A8%E4%B8%8E%E4%BB%BF%E7%9C%9F%E6%96%87%E4%BB%B6&utm_medium=distribute.pc_search_result.none-task-blog-2allsobaiduweb~default-0-130121971.142v100pc_search_result_base5&spm=1018.2226.3001.4187

https://blog.csdn.net/qq_43279579/article/details/115176423?ops_request_misc=%257B%2522request%255Fid%2522%253A%252229403497-3826-46D7-AA6E-9201CBCD7D86%2522%252C%2522scm%2522%253A%252220140713.130102334.pc%255Fblog.%2522%257D&request_id=29403497-3826-46D7-AA6E-9201CBCD7D86&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2blogfirst_rank_ecpm_v1~rank_v31_ecpm-3-115176423-null-null.nonecase&utm_term=modelsim%20se%E8%AE%BE%E7%BD%AE%E5%AE%8C%E7%8E%AF%E5%A2%83%E5%8F%98%E9%87%8F%EF%BC%8Cquartus%E6%97%A0%E6%B3%95%E7%94%9F%E6%88%90%E4%BB%BF%E7%9C%9F%E5%BA%93&spm=1018.2226.3001.4450

modelsim卸载:直接删除文件夹

modelsim SE 安装破解教程:

https://blog.csdn.net/Xkccsdn147/article/details/136664394

https://blog.csdn.net/mojixin123/article/details/139811760?ops_request_misc=&request_id=&biz_id=102&utm_term=modelsim%E7%A0%B4%E8%A7%A3&utm_medium=distribute.pc_search_result.none-task-blog-2allsobaiduweb~default-0-139811760.142v100pc_search_result_base5&spm=1018.2226.3001.4187

https://blog.csdn.net/qq_37624854/article/details/135662762?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522375FEDA1-5C93-443B-9903-4F53EEF54281%2522%252C%2522scm%2522%253A%252220140713.130102334…%2522%257D&request_id=375FEDA1-5C93-443B-9903-4F53EEF54281&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2blogtop_click~default-1-135662762-null-null.nonecase&utm_term=modelsim%20se&spm=1018.2226.3001.4450

如何把quartus编译的库导入到modelsim中
https://blog.csdn.net/weixin_64365660/article/details/133465549?ops_request_misc=&request_id=&biz_id=102&utm_term=modelsim%20se%E8%AE%BE%E7%BD%AE%E5%AE%8C%E7%8E%AF%E5%A2%83%E5%8F%98%E9%87%8F%EF%BC%8Cquartus%E6%97%A0%E6%B3%95%E7%94%9F%E6%88%90&utm_medium=distribute.pc_search_result.none-task-blog-2blogsobaiduweb~default-1-133465549.nonecase&spm=1018.2226.3001.4450

使用modelsim仿真时出现“vopt returned success but vsim could not find a design to simulate!”错误
https://blog.csdn.net/hzcnorton/article/details/120702985

安装和破解Quartus13.0和ModelSim
https://blog.csdn.net/wd12306/article/details/129715002?ops_request_misc=%257B%2522request%255Fid%2522%253A%252249B12F3C-4D30-4EDB-94F8-9F8DDE347525%2522%252C%2522scm%2522%253A%252220140713.130102334…%2522%257D&request_id=49B12F3C-4D30-4EDB-94F8-9F8DDE347525&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allsobaiduend~default-3-129715002-null-null.142v100pc_search_result_base5&utm_term=modelsim%E6%B7%BB%E5%8A%A0quartus%E4%BB%BF%E7%9C%9F%E5%BA%93&spm=1018.2226.3001.4187

Quartus中如何生成Modelsim仿真使用的library 库?
https://blog.csdn.net/Mason_FreeFish/article/details/120866502?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522EBB09A4C-8A4A-4B43-B05E-91AF33AF9D43%2522%252C%2522scm%2522%253A%252220140713.130102334…%2522%257D&request_id=EBB09A4C-8A4A-4B43-B05E-91AF33AF9D43&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allbaidu_landing_v2~default-1-120866502-null-null.142v100pc_search_result_base5&utm_term=quartus%E5%A6%82%E4%BD%95%E7%BC%96%E8%AF%91%E4%BB%BF%E7%9C%9F%E5%BA%93&spm=1018.2226.3001.4187

搭建Modelsim SE仿真环境-使用do文件仿真
https://blog.csdn.net/yundanfengqing_nuc/article/details/105679849?spm=1001.2101.3001.6650.3&utm_medium=distribute.pc_relevant.none-task-blog-2%7Edefault%7EOPENSEARCH%7ERate-3-105679849-blog-142307212.235%5Ev43%5Epc_blog_bottom_relevance_base9&depth_1-utm_source=distribute.pc_relevant.none-task-blog-2%7Edefault%7EOPENSEARCH%7ERate-3-105679849-blog-142307212.235%5Ev43%5Epc_blog_bottom_relevance_base9&utm_relevant_index=4

quartus关联modelsim
https://blog.csdn.net/m0_65867769/article/details/139394894?ops_request_misc=%257B%2522request%255Fid%2522%253A%25227574F3A6-0A96-4AF0-97E8-33DD39030483%2522%252C%2522scm%2522%253A%252220140713.130102334.pc%255Fall.%2522%257D&request_id=7574F3A6-0A96-4AF0-97E8-33DD39030483&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allfirst_rank_ecpm_v1~rank_v31_ecpm-7-139394894-null-null.142v100pc_search_result_base5&utm_term=quartus%E6%80%8E%E4%B9%88%E5%85%B3%E8%81%94modelsim&spm=1018.2226.3001.4187

让Quartus自动生成Testbench模板并且编写
https://blog.csdn.net/qq_65915592/article/details/134596789?utm_medium=distribute.pc_relevant.none-task-blog-2defaultbaidujs_baidulandingword~default-2-134596789-blog-106498250.235v43pc_blog_bottom_relevance_base9&spm=1001.2101.3001.4242.2&utm_relevant_index=5

单独ModelsimSE对Alter IP进行仿真
https://blog.csdn.net/qq_22168673/article/details/106498250

将.vht文件导入进Quartus,从Quartus跳转进Modelsim进行仿真
https://blog.csdn.net/qq_65915592/article/details/134596789?utm_medium=distribute.pc_relevant.none-task-blog-2defaultbaidujs_baidulandingword~default-2-134596789-blog-106498250.235v43pc_blog_bottom_relevance_base9&spm=1001.2101.3001.4242.2&utm_relevant_index=5

modelsim可以打开,用quartusii打开modelsim报错,报错内容为环境变量设置不正确 的问题解决。
https://blog.csdn.net/weixin_44048021/article/details/123236924?ops_request_misc=&request_id=&biz_id=102&utm_term=quartus%E7%BC%96%E8%AF%91%E5%BA%93%EF%BC%8C%E6%8F%90%E7%A4%BAmodelsim%E7%8E%AF%E5%A2%83%E5%8F%98%E9%87%8F%E6%9C%89%E9%97%AE%E9%A2%98&utm_medium=distribute.pc_search_result.none-task-blog-2allsobaiduweb~default-0-123236924.142v100pc_search_result_base5&spm=1018.2226.3001.4187

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值