Verilog数据类型:tri0与tri1

Verilog语法tri0和tri1

Verilog中定义了19种数据类型,包括tri0和tri1;
其余分别是:

reg型、      wire型、          memory型、     integer型、    parameter型、
large型、    medium型、        small型、      scalared型、   time型、         tri型、
triand型、   trior型、         trireg型、     vectored型、   wand型、         wor型。

tri型用来表示多驱动器驱动的网络型数据,如果没有驱动源驱动tri0线网时,该线网的值默认为0;如果没有驱动源驱动tri1线网时,该线网的默认值为1。

tri0和tri1的驱动强度都为pull,tri0等效于强度为pull的0值连续驱动这个wire;tri1等效于强度为pull的1值连续驱动该wire。

  • 5
    点赞
  • 28
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值