基于FPGA和Verilog语言的LCD1602的时钟显示和汉字显示

本例程是基于FPGA和Verilog语言的LCD1602的时钟显示和汉字显示,需要用到取模软件,个人用的是8x8LED点阵字库。

1.会使用8x8LED点阵字库。https://pan.baidu.com/s/1PIMwyp8yoeGpSHsYkixYgA    提取码:lgzp 

2.需要掌握LCD1602每个点阵的写入数据方法。花半个小时时间看完这个链接,https://blog.csdn.net/weixin_42168194/article/details/90277703

3.至少掌握FPGA分频器运算程序和以及例化(引用)不同文件程序,类似于C++,C语言引用头文件。

4.程序链接 https://download.csdn.net/download/weixin_42168194/11183496

 

 

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值