Modelsim安装以及和Quartus2联合仿真

首先感谢一下这篇文章的主人:是超超啊!
https://blog.csdn.net/weixin_43862765/article/details/99305902

注意:本文仅供学习参考,不做商业用途!

QuartusII是个比较神奇的软件,它的版本越高所支持的器件也会减少,即后面的本版可能不支持前面一些比较老的芯片。在下载之前先查查该版本有没有你要的器件,具体版本支持的器件可以在这里看:https://www.intel.cn/content/www/cn/zh/programmable/downloads/download-center.html
因为学校的实验箱子是cycloneⅡ的,我前几天装好了QuartusII13.1的版本,上课的时候才发现刚好从13.1开始没有cycloneⅡ,只能重新找了个13.0的。具体的QuartusII安装请参考上面的文章。
安装完后期需要其他的器件包也可以从这里下载:
https://fpgasoftware.intel.com/13.0sp1/?edition=subscription&platform=windows
选择对应的版本即可。

1、Modelsim的安装:

Modelsim下载地址:
链接:https://pan.baidu.com/s/1jHWLMy59qo4sl3JY8SYqgw
提取码:7d3l
注意:我这里采用的是Modelsim SE版本
下载解压后得到这两个文件:
在这里插入图片描述
打开modelsim-win64-10.5-se.exe,一直进行下一步,安装路径可以自己更改。
注意:

  1. 安装目录避免出现中文或空格,安装过程一直点击 Yes 或下一步即可。最后一步安装完会说明reboot,即重启。

  2. 把 MentorKG.exe、mgls.dll以及patch4_dll.bat 一起拷贝到modelsim安装目录的win64下,例如【K:\modelsim\win64】。

  3. 进入安装目录下的win64 文件夹找到mgls.dll mgls64.dll两个文件,去掉只读属性。
    在这里插入图片描述 在这里插入图片描述
    在这里插入图片描述

  4. 运行patch64_dll.bat,产生license后,放到任意目录下,例如【K:\modelsim\win64】。

  5. 新建用户环境变量【MGLS_LICENSE_FILE】,变量值为license放置的目录,例如:【K:\modelsim\win64\LICENSE.TXT】。
    右键点击电脑->属性->高级系统设置->环境变量
    在这里插入图片描述
    添加完成后点击确定。

2、QuartusII和modelsim的联合仿真使用:

打开QuartusII,选择工具栏里面的Tools
选择EDA Tool Options 把modelsim设置为之前自己安装的路径。
在这里插入图片描述
再点击Assignments->settings
选择simulation,将里面的Tool name设置为Modelsim
在这里插入图片描述
设置完成之后随意打开一个工程(确定没有报错),选择Tool->Run Simulation Tool->RTL Simulation。
在这里插入图片描述
可以看到从quartus里面启动Modelsim
在这里插入图片描述

评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值