AXI stream接口时序

AXI4-Stream接口摒弃地址线,简化为发送与接收操作,降低了延时。传输依赖VALID和READY信号同时为高。文中通过时序图展示了在数据传输过程中,tready保持高位,tvalid上升沿伴随tdata、tkeep、tuser传输,tlast标记数据结束,tvalid随后降为低位,完成一次传输。
摘要由CSDN通过智能技术生成

二、握手机制

只有当VALID 和READY 同时为高时,才能进行传输。

VALID和READY信号的先后顺序有一下三种形式:
2.1  VALID早于READY信号
READY信号早于VALID信号
VALID 信号与 READY 信号同时
三、基本事务

AXI4-Stream跟AXI4的区别就是AXI4-Stream去除了地址线,这样就不涉及读写数据的概念了,只有简单的发送与接收说法,减少了延时。由于AXI4-Stream协议(amba4_axi4_stream_v1_0_protocol_spec.pdf)没有时序图,因此,我使用XILINX公司的产品指导手册(pg007_srio_gen2_v3_1.pdf)里的一个时序图来演示AXI4-Stream各个信号的关系。如下图所示:
在这里插入图片描述
上图中,tready信号一直处于高电平,表示从设备做好了接收数据准备。tvalid变为高电平的同时,tdata、tkeep、tuser也同时进行发送。在tdata最后一个字节数据时,tlast发送一个高电平脉冲。数据发送完成后,tvalid变为低电平。这样一次传输就完成了。

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值