vivado fpga简单入门--led闪烁 创建工程+代码输入+添加引脚约束完整具体流程

1.把板子连到电脑。然后打开vivado,创建工程,如图在这里插入图片描述
2.next
在这里插入图片描述
3.输入工程名,选择工程目录,然后next
在这里插入图片描述
4.next在这里插入图片描述
5.creat file,
在这里插入图片描述
6.输入文件名 然后点击ok在这里插入图片描述
7.next在这里插入图片描述
8.next
在这里插入图片描述
9.选择你的板子型号,然后next
在这里插入图片描述
10.finish
在这里插入图片描述

11.如果跳出来这个界面,点击ok
在这里插入图片描述
yes
在这里插入图片描述
双击
在这里插入图片描述
把下面这段代码贴到工程里

module led(
    input          sys_clk  , 
    input          sys_rst_n, 

    output  [1:0]  led
);

reg  [25:0]  cnt ;

//*****************************************************
//**                    main code
//*****************************************************

assign led = (cnt < 26'd2500_0000) ? 2'b01 : 2'b10 ;



always @ (posedge sys_clk or negedge sys_rst_n) begin
    if(!sys_rst_n)
        cnt <= 26'd0;
    else if(cnt < 26'd5000_0000)
        cnt <= cnt + 1'b1;
    else
        cnt <= 26'd0;
end

endmodule

在这里插入图片描述
点击Run Synthesis
在这里插入图片描述
save
在这里插入图片描述
ok
在这里插入图片描述
点这个,看仿真信息
在这里插入图片描述

仿真信息,已经仿真完成在这里插入图片描述
cancel
在这里插入图片描述
点 schematic在这里插入图片描述
点IO planing
在这里插入图片描述
根据你的板子输入管脚,选LVCMOS33(3.3V)
在这里插入图片描述
然后保存(ctrl+s),点ok在这里插入图片描述
输入约束文件的名字,然后点ok
在这里插入图片描述

生成比特流,点
在这里插入图片描述
OK
在这里插入图片描述
OK,稍等一会在这里插入图片描述
OK
在这里插入图片描述
YES
在这里插入图片描述
点自动连接
在这里插入图片描述
点击Program device
在这里插入图片描述
点program
在这里插入图片描述
完成

<think>好的,用户想查找Vivado入门教学或使用指南。我需要结合之前提供的引用内容来回答。首先,用户提到的引用[1]、[2]、[3]都是关于Vivado的不同方面,比如程序固化、ILA使用和安装教程。用户现在需要的是入门教程,所以需要整合这些资源,并可能补充其他基础内容。 首先,应该分步骤介绍入门流程,比如安装、工程创建、设计流程、仿真调试等。引用[3]提到了安装教程,可以推荐。引用[1]关于程序固化和引用[2]的ILA使用指南可以作为进阶内容,放在后续步骤或相关资源里。此外,可能需要提到官方文档和社区资源,但用户提供的引用中没有这些,所以主要围绕已有的三个引用展开。 需要确保回答结构清晰,步骤明确。可能的问题部分要生成与Vivado入门相关的问题,比如安装常见问题、工程管理、基本设计流程等。同时要注意格式要求,比如数学表达式的正确使用,但这里可能用不上。最后要检查是否符合中文回答,正确引用标记,以及相关问题的生成是否合适。</think>### Vivado 入门教程与使用指南 VivadoFPGA 开发的集成化设计工具,涵盖从设计输入硬件部署的全流程。以下是入门关键步骤和相关资源推荐: --- #### **1. 安装与环境配置** - **安装要求**:需确保硬盘空间充足(建议预留 50GB 以上),Windows/Linux 系统均可支持[^3]。 - **安装步骤**: 1. 下载 Vivado 安装包(如 WebPACK 免费版)。 2. 运行安装程序,选择所需组件(如 FPGA 器件支持、仿真工具)。 3. 配置许可证(部分高级功能需付费 License)。 - **推荐资源**:引用[3]中提供了安装教程,可帮助解决空间不足等常见问题。 --- #### **2. 创建第一个工程** 1. **新建工程**: 打开 Vivado → `Create Project` → 指定工程名称与路径 → 选择目标 FPGA 型号(如 Xilinx Artix-7)。 2. **添加设计文件**: - 编写 Verilog/VHDL 代码(如 LED 闪烁模块)。 - 通过 `Add Sources` 导入文件。 3. **约束文件(XDC)**: 定义引脚分配与时序约束,例如: ```tcl set_property PACKAGE_PIN A1 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports clk] ``` --- #### **3. 设计综合与实现** - **综合(Synthesis)**:将代码转换为逻辑电路网表。 - **实现(Implementation)**:布局布线,生成比特流文件(`.bit`)。 - **关键操作**: 点击 `Generate Bitstream`,Vivado 自动执行全流程,并提示错误与警告。 --- #### **4. 仿真与调试** - **仿真(Simulation)**: 使用 Vivado 自带的仿真器或第三方工具(如 ModelSim)验证功能。 - **调试工具 ILA**: 引用[2]中的《Vivado下ILA使用指南》详细介绍了如何插入逻辑分析仪核,捕获实时信号并分析时序问题[^2]。 --- #### **5. 程序固化** - **比特流下载**:通过 JTAG 将 `.bit` 文件烧录至 FPGA(断电后丢失)。 - **固化到 Flash**: 引用[1]的教程提供了生成 `.mcs` 文件并烧录至非易失存储器的步骤,确保配置持久化[^1]。 --- #### **推荐学习资源** 1. **官方文档**:Xilinx 提供的《Vivado Design Suite User Guide》。 2. **实践项目**:从简单逻辑电路(如计数器)入手,逐步增加复杂度。 3. **社区支持**:Xilinx 论坛、GitCode 开源仓库(如引用[1][2][3]中的教程)。 ---
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值