vivado fpga简单入门--led闪烁 创建工程+代码输入+添加引脚约束完整具体流程

1.把板子连到电脑。然后打开vivado,创建工程,如图在这里插入图片描述
2.next
在这里插入图片描述
3.输入工程名,选择工程目录,然后next
在这里插入图片描述
4.next在这里插入图片描述
5.creat file,
在这里插入图片描述
6.输入文件名 然后点击ok在这里插入图片描述
7.next在这里插入图片描述
8.next
在这里插入图片描述
9.选择你的板子型号,然后next
在这里插入图片描述
10.finish
在这里插入图片描述

11.如果跳出来这个界面,点击ok
在这里插入图片描述
yes
在这里插入图片描述
双击
在这里插入图片描述
把下面这段代码贴到工程里

module led(
    input          sys_clk  , 
    input          sys_rst_n, 

    output  [1:0]  led
);

reg  [25:0]  cnt ;

//*****************************************************
//**                    main code
//*****************************************************

assign led = (cnt < 26'd2500_0000) ? 2'b01 : 2'b10 ;



always @ (posedge sys_clk or negedge sys_rst_n) begin
    if(!sys_rst_n)
        cnt <= 26'd0;
    else if(cnt < 26'd5000_0000)
        cnt <= cnt + 1'b1;
    else
        cnt <= 26'd0;
end

endmodule

在这里插入图片描述
点击Run Synthesis
在这里插入图片描述
save
在这里插入图片描述
ok
在这里插入图片描述
点这个,看仿真信息
在这里插入图片描述

仿真信息,已经仿真完成在这里插入图片描述
cancel
在这里插入图片描述
点 schematic在这里插入图片描述
点IO planing
在这里插入图片描述
根据你的板子输入管脚,选LVCMOS33(3.3V)
在这里插入图片描述
然后保存(ctrl+s),点ok在这里插入图片描述
输入约束文件的名字,然后点ok
在这里插入图片描述

生成比特流,点
在这里插入图片描述
OK
在这里插入图片描述
OK,稍等一会在这里插入图片描述
OK
在这里插入图片描述
YES
在这里插入图片描述
点自动连接
在这里插入图片描述
点击Program device
在这里插入图片描述
点program
在这里插入图片描述
完成

  • 6
    点赞
  • 52
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值