基于FPGA的信号发生器

闭关半年,学习FPGA,其中学到了很多东西。使用的Verilog,学过VHDL,但是不熟悉。接下来的几篇文章都是关于FPGA的。转眼就到大四了。以后准备找FPGA的工作。开始正题,先看效果:在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
上面图片中第一排是FPGA输出到DA的数字信号,第二排是用AD采集到DA输出的数据。可调幅调频调波形,现在的波形只有正弦波,三角波,方波,可以在添加其他波形。调幅,是用硬件滑动变阻器调节。调频,是利用时钟分频,但是不能称作DDS,因为不能调到已知频率(因为我们按键每次分频系数加一),但可以自己计算分频系数,调到已知频率,频率按键控制。调波形,用的三个ROM存储各种波形的数据,按键来控制切换。

always @(posedge clk or negedge rst_n)
begin
    if(!rst_n)
    begin
    FREQ_ADJ <= 8&#
评论 10
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值