ic验证笔记-20天挑战day11

1.验证方法学的概述

uvm(universal verification methodology)通用验证方法学

统一验证方法学的内容:

uvm的类库和核心机制

核心uvm组件和层次构建方式

uvm组件间的通信方式

深入uvm测试场景的构成

uvm的寄存器模型应用

2.类库地图

验证环境的需求:

组件的创建和访问

环境的结构创建,组件之间的连接和运行

不同阶段的顺序安排

激励的生成,传递和控制

测试的报告机制

uvm类

1.uvm_void,uvm_object(核心基类)

2.工厂类factory注册创建覆盖 uvm_factory:uvm_object_wrapper,uvm_object_registry,uvm_component_registry(底层组件的创建)

3.事务(transaction)和序列(sequence)类继承自uvm_object

uvm_transaction-uvm_sequence_item:uvm_sequence,uvm_sequence_library,uvm_random_sequence,uvm_exhaustive_sequence,uvm_simple_sequence,uvm_reg_sequence

4.结构创建(structure creation)类

uvm_phase, uvm_report_object, uvm_component(组件类)

5.环境组件(environment component)类 继承自uvm_component

uvm_component:uvm_in_order_comparator,uvm_algorithm_comparator,uvm_driver,uvm_monitor,uvm_agent,uvm_env,uvm_test,uvm_reg_predictor, uvm_scoreboard,uvm_random_stimulus,uvm_sequencer_base,uvm_sequencer

6.通信管道(channel)类 fifo,channel

uv

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值