synopsys tcl语言

综合软件中TCL的常见指令
1.语法格式:get_ports portsName
指令功能:返回design中对应的ports object
如果想要查看design中所有的port: get_ports *
如果想要查看以C开头的所有的port:get_ports C*

2.语法格式:get_cells cellsName
指令功能:返回design中对应的cell的instance name object
reference name:design中代表模块的类型:INV,ENCODER,REGFILE
instance name:design中唯一的模块名字:U1,U2,U3,U4

3.语法格式:get_nets netsName
指令功能:返回design中对应的net的object

若想要查看design当中有多少个net?
在这里插入图片描述
4.语法格式:get_pins pinsName
指令功能:返回design中对应的pin的object

常见的四种对象有:cell,net,port,pin
每种object都有自己的属性
任何一个属性可以通过get_attribute得到
list_attribute-class*可

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值