Tcl 语言——Synopsys Tcl篇


目录

1、Tcl 在EDA Tool 中的扩展与应用

1、获取端口相关信息

2、获取单元信息

3、获取网表信息

4、获取管脚信息

5、属性获取

6、属性过滤

7、对象连接

参考说明



继续学习 Tcl … …

Synopsys (美国半导体公司,新思科技)公司的大多数工具的命令窗口都是基于Tcl命令。可以比较方便的对各环节的输出文档进行操作。


1、Tcl 在EDA Tool 中的扩展与应用

下图是数字电路设计模块中各部分的含义:

1、获取端口相关信息

语法:get_ports 端口名称
功能:返回设计中的端口

通配符 * 的使用:

get_ports *

返回所有的端口名称

get_ports I*

返回所有的以I开头的端口名称

get_ports *1

返回所有的以1结尾的端口名称

  • 2
    点赞
  • 49
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

在路上-正出发

哈哈,多少是个心意

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值