-----边沿检测

//边沿检测

检测上升沿与下降沿

module edege(
	input				clk,
	input				rst_n,
	input				signal,
	output			neg_edeg,
	output			pos_edge
);

reg signal_r;
always@(posedge clk or negedge rst_n )
	if(!rst_n)
		signal_r<=0;
	else
		signal_r<=signal;
		
assign pos_edge=signal & (!signal_r);
assign neg_edeg=(!signal )& (signal_r);

endmodule

 


`timescale 1ns/1ns
module edege_tb();
reg      clk;
reg      rst_n;
reg      signal;
wire     neg_edeg;
wire     pos_edge;

initial begin
	clk=0;
	rst_n=0;
	signal=0;
	#100.2;
	rst_n=1;
	#100;
	signal=1;
	#200;
	signal=0;
	#300;
	$stop;
end

always #10 clk=~clk;



edege  edege(
	.clk(clk),
	.rst_n(rst_n),
	.signal(signal),
	.neg_edeg(neg_edeg),
	.pos_edge(pos_edge)
);


endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值