<FPGA问题>Vivado MIG IP生成报错

生成MIG报错:

在用vivado的mig ip核做ddr3控制器时,生成时报错

问题描述:

在这里插入图片描述


解决方案:

网上有很多说法,比如工程地址太长,没有添加路径之类的,但我试过都解决不了。
我的vivado安装在D盘的,安装完后D盘还剩100G可用空间,我给D盘扩容到300G之后,报错消失,IP核可以综合

第二天更新:
今天又出现之前的问题了,这次我更改了[IP_Flow 19-1747] Failed to deliver file ‘d:/Vivado/2019.2/data/ip/xilinx/mig_7series_v4_2/xit/synthesis.xit’: error renaming “f:/FPGA_vivado_pro/DDR3_test/DDR3_test.srcs/sources_1/ip/ddr3/_tmp/ddr3” to “f:/FPGA_vivado_pro/DDR3_test/DDR3_test.srcs/sources_1/ip/ddr3/ddr3”: permission denied
这条信息中提到的DDR3_test.srcs/sources_1/ip/ddr3/_tmp文件夹权限,添加一个完全控制权限,就可以了

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 7
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值