vivado生成mig_Xilinx-在Zynq上用MIG扩展内存(2)-Vivado篇

本文详细介绍了如何在ZC706开发板上,利用Vivado 2013.2创建工程,配置Zynq处理系统和MIG 7 Series IP,以实现DDR3内存的扩展。步骤包括创建工程、配置Zynq、配置MIG、建立IP连接、创建约束和设计验证,最后通过SDK进行测试确保MIG工作正常。
摘要由CSDN通过智能技术生成

硬件平台:ZC706开发板

软件工具:Vivado 2013.2

Step 1: 创建工程

启动Vivado 2013.2,创建一个新的工程zc706_mig。选中Create project subdirectory。

选择RTL Project

一路Next,在Default Part页面选择ZC706开发板。

Step 2: 配置Zynq

在左面的Flow Navigator窗口,单击Create Block Design,Design Name填写zynq。

在Diagram Tab页里面添加IP ‘ZYNQ7 Processing System’。

双击processing_system7_1,打开配置界面。取消所有外设,仅仅保留UART。UART1使用MIO 48..49。关闭FCLK_CLK0的输出。

Step 3: 配置MIG

在Diagram Tab页里面添加IP ‘MIG 7 Series’。双击mig_7series_1,打开配置界面。

在Memory Selection页,选择DDR3

在Controller Options也,配置Clock Period为1250ps,配置Memory Type=SODIMMS; Memory Part=MT8JTF12864HZ-1G6。

在AXI Parameter页,配置Data Width=32, ID width=12。

在Memory Options页,配置Input Clock Period=5000ps(200MHz).

在FPGA Options页,配置System Clock=Dif

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值