FPGA的学习:全加器的实现

这篇博客介绍了如何使用Verilog实现全加器,通过实例化半加器并结合上一级进位信号完成全加器的功能。文章包含全加器的系统框图、时序图以及详细的代码实现,还进行了仿真验证,确保了全加器在不同输入条件下的正确性。
摘要由CSDN通过智能技术生成

前面已经学习了半加器的实现。全加器的实现是依靠两个半加器实现,首先来看系统框图和时序图。

这里实现功能的时候用到了半加器的实例化,所以需要在此文件夹下同时放入半加器的实现,不然编译会不通过

 `timescale  1ns/1ns

module  full_adder
(
    input   wire    in1 ,   //加数1
    input   wire    in2 ,   //加数2
    input   wire    cin ,   //上一级的进位
 
    output  wire    sum ,   //两个数的加和
    output  wire    cout    //加和后的进位
);

//wire 型变量的定义
wire    h0_sum;    
wire    h0_cout;   
wire    h1_cout;   

//第一个实例化

half_adder  half_adder_inst0
(                      

  • 3
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

石小舟

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值