基于FPGA的DDS在安路TD和EG4A20BG256上的实现(一)

一.TD软件下载

登录上海安路官网
下载TD_5.0.3_25878_Win_64bit_NL版本

在这里插入图片描述

二.获取License

安路TD开发软件或去Liense的方法:
使用tang primer的IDE TD时,运行编译报错没有license(许可证)。
TD软件需要license来注册软件,否则无法使用开发软件的各种功能。
License下载 网址
将下载好的最新lic文件放到TD安装目录下的license文件夹下

并重命名为Anlogic.lic。
在这里插入图片描述

三.下载驱动

百度网盘链接
提取码:3zim
安装步骤:
安路FPGA下载器驱动安装教程

  • 4
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值