统计第一个出现的1后面0的个数(Verilog)

RTL代码:

module fsm(
	input clk,
	input rst_n,
	input start,
	input [7:0]data,
	output reg[3:0]count_out
);

	reg [3:0]cnt;
	reg en;
	reg [7:0]data_r;
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)
			en <= 1'b0;
		else if(start)
			en <= 1'b1;
		else if(data_r[0] || (cnt == 'd8))
			en <= 1'b0;
		else
			en <= en;
	end
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)
			cnt <= 'd0;
		else if(en)
			cnt <= cnt + 1'b1;
		else 
			cnt <= 'd0;
	end
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)
			data_r <= 'd0;
		else if(start)
			data_r <= data;
		else if(en)
			data_r <= {data_r[0],data_r[6:1]};
		else 
			data_r <= data_r;
	end
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)
			count_out <= 'd0;
		else if((data_r[0] || (cnt == 'd8)) && en)
			count_out <= cnt;
		else 
			count_out <= count_out;
	end
	

endmodule


仿真代码:

`timescale 1ns/1ns
module fsm_tb;

	reg clk;
	reg rst_n;
	reg start;
	reg [7:0]data;
	wire[3:0]count_out;
	
	fsm fsm_inst(
		.clk			(clk			),
		.rst_n		(rst_n		),
		.start		(start		),
		.data			(data			),
		.count_out	(count_out	)
);
	
	initial clk = 0;
	always#10 clk = ~clk;
	
	initial begin
		rst_n = 0;
		data = 8'b1111_1111;
		start = 1'b0;
		#100;
		rst_n = 1;
		#1000;
		
		data = 8'b1101_1100;
		start = 1'b1;
		#20;
		start = 1'b0;
		#2000;
		
		data = 8'b1101_0000;
		start = 1'b1;
		#20;
		start = 1'b0;
		#2000;
		
		data = 8'b0000_0000;
		start = 1'b1;
		#20;
		start = 1'b0;
		#2000;
		
		$stop;
	end

endmodule

仿真截图:
在这里插入图片描述

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值