UVM 平台仿真,如何在harness中get testcase得名字

UVM 平台仿真,如何在harness中get testcase得名字

uvm得平台在harness想要get到tc得名字如何实现?

理论:我们的验证最顶层就是top_tb(harness), 在内部实例化dut,然后调用run_test函数,他会实例化一个脱离了top_tb层次结构的实例uvm_test_top,建立一个新的层次结构。这是uvm验证平台最顶层的入口。
在这里插入图片描述

​​​​module harness();
    import uvm_pkg::*;
    ......
    initial begin
         run_test();
    end
    ......
endmoudle
  • 无论传递给run_test什么参数,创建的实例名都为uvm_test_top。但此处函数中没有参数,因为UVM提供对不加参数的run_test的支持。UVM会利用UVM_TEST_NAME从命令行中寻找测试用例的名字, 创建它的实例并运行。 如下所示的代码可以启动my_case0:
… +UVM_TEST_NAME=my_case0
  • 这个run_test不是一个类的成员函数,是一个global task,内部调用uvm_root::run_test
//uvm_global
task run_test (string test_name="");
 uvm_root top;
 top = uvm_root::get();//创建了整个uvm_root,得到了uvm_top顶层
 top.run_test(test_name);//调用uvm_root::run_test
endtask
task run_test (string test_name="");
	uvm_root top;
	top = uvm_root::get();
	verdi_set_verbosity(1'b0,1);
	top.run_test(test_name);
endtask

  • uvm_root::run_test中,根据UVM_TESTNAME创建uvm_test_top对象,并且调用静态方法m_run_phases,开启了uvm_phase的启动。

    那我们是否可以直接从命令行中拿UVM_TEST_NAME?uvm_root中提供了一个函数,原代码文件uvm_root.svh。uvm_root得类中有一个成员类uvm_cmdline_processer,这个成员类中包含了get命令行中变量得函数。

	test_name_count = clp.get_arg_values("+UVM_TESTNAME" test_name);
如下是一个同事提供得例子:
initial begin
	uvm_cmdline_processor clp;
	string test_names[$];
	string test_name;
	int test name count;
	clp = uvm_cmdline_processer::get_inst;
	test_name_count = clp.get_arg_values("+UVM_TESTNAME=", test_names);// If at least one,use first in queue.
	if(test_name_count>0)begin
		test_name_e test_names[0];
	end
	Sdisplay("============uvm_root.get_name is %0s",test_name);
end
  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值