UVM平台:自动替换case名字并生成新的case文件

前言

此为linux环境。

作为一个验证人员,一般需要写大量的case,现在的case启动sequence的方式一般都是设置default_sequence发送到sqr的main_phase。每次在新建case的时候都需要进行文件名字的替换,如果有出错可能会导致sequence无法启动等问题。又繁琐又容易报错。这里提供了一个简单的python脚本进行文件内容的替换。

一.脚本思路

一般的case是这样的:

`ifndef AAA__SV
`define AAA__SV

class aaa extends uvm_sequence ;
 .....
endclass

class aaa_vseq extends base_test;
  function new(string name = "aaa_vseq" , uvm_component parent = null);
    super.new(name,parent);
  endfunction 

  function build_phase(uvm_phase phase);
    super.build_phase(phase);
    uvm_config_db#(uvm_object_wrapper)::set(this,
                                            "env.i_agt.sqr.main_phase",
                                            "default_sequence",
                                            aaa::type_id::get());
  endfunction
endclass

假如我需要新建一个bbb.sv的case,就可以使用该脚本进行文件的新建,更改名字而复制内容,便于统一管理。从内容可以看出需求:

  1. 根据case名字查找内容,匹配字符串,不区分大小写
  2. 替换查找出来的内容,大写替换大写,小写替换小写
  3. 新建文件将内容写入

二.python脚本代码

这里不提供python语法解析,直接给代码

#!/user/bin/python
import sys
import re

def alter(old_file,new_file,old_str,new_str):
  file_data = ''
  with open(old_file,'r') as old_fl:
    for line in old_fl:
      if old_str in line:
        line = line.replace(old_str,new_str)
      if old_str.upper() in line:
        line = line.replace(old_str.upper(),new_str.upper())
      file_data += line
  with open(new_file,'w') as new_fl:
    new_fl.write(file_data)

#下面是使用正则的alter函数,功能与上面一样

def alter(old_file,new_file,old_str,new_str):
  file_data = ''
  line1 = ''
  with open(old_file,'r') as old_fl:
    for line in old_fl:
      line1 = re.sub(old_str,new_str,line)
      line1 = re.sub(old_str.upper,new_str.upper,line)
      file_data += line
  with open(new_file,'w') as new_fl:
    new_fl.write(file_data)

if len(sys.argv) == 3:
  str1 = ''
  old_str = ''
  new_str = ''

  str1 = sys.argv[1].split(.)
  old_str = str1[0]
  str1 = sys.argv[2].split(.)
  new_str = str1[0]

  alter(sys.argv[1],sys.argv[2],old_str,new_str)

else:
  printf ' please enter [old_file_name new_file_name] '

在使用的时候,就可以在命令行中直接敲入:

./new_case.py aaa.sv bbb.sv

如果需要让脚本在整个环境都可以使用,就可以使用alias命令

alias ~aaa/script/new_case.py nname

注意前面需要是绝对路径,这时候在整个环境都可以使用下述代码来新建一个case

nname aaa.sv bbb.sv

### 回答1: UVM(Universal Verification Methodology)是一种用于验证集成电路设计的开放标准方法学。在UVM平台中,自动生成脚本是一种增强效率和减少错误的技术。 自动生成脚本是指使用脚本语言,通过模板、规则和算法等自动生成测试代码的过程。在UVM中,我们可以利用自动生成脚本来实现验证环境和测试用例的搭建,以及一些重复性工作的自动化。这样可以大大减少手动编码的工作量,提高代码质量,加快验证过程。 在UVM中,自动生成脚本往往通过一些验证平台工具来实现。这些工具可以根据设计规范、功能需求以及特定验证环境的要求,自动生成相应的UVM代码。例如,可以通过指定输入信号、约束条件、运行时间等参数,生成测试用例的模板代码。同时,也可以利用一些现有的函数库和模块,实现对于通用部分的重复利用,提高代码的可重用性。 自动生成脚本在UVM平台中的应用非常广泛。它可以自动生成各种类型的验证组件,包括驱动器(driver)、监控器(monitor)、交易符号(transaction)、分析器(analyzer)等。这些自动生成的组件可以帮助验证工程师快速搭建验证环境,提高测试效率。 总而言之,UVM平台自动生成脚本技术可以通过自动生成测试代码,减少手动编码的工作量,提高代码质量和可重用性,从而加快验证过程。这是一项在集成电路设计验证中非常有用的技术。 ### 回答2: UVM(Universal Verification Methodology)是一种通用的验证方法学,用于验证硬件设计。它提供了一套规范和方法,帮助验证工程师快速而高效地开发和执行验证环境。而Kris是UVM平台上用于自动生成脚本的工具。 Kris通过分析设计源代码和验证环境的规格要求,自动生成UVM测试脚本。其主要优点是节省了验证工程师编写脚本的工作量,并可以减少人为错误。 使用Kris生成脚本主要分为以下几个步骤: 1.设计规格定义:验证工程师需要给出设计的规格要求,包括输入、输出的数据格式、操作流程等。 2.源代码分析:Kris会分析设计源代码,识别各个功能模块、接口和数据结构等,为后续生成脚本提供依据。 3.验证环境生成:根据设计规格和源代码信息,Kris会自动生成UVM验证环境,包括各种接口和信号的连接、配置寄存器和产生随机数据等。 4.测试脚本生成:基于验证环境和设计规格,Kris会自动生成一组完整的UVM测试脚本,包括产生输入数据、执行功能操作、检查输出数据等。 5.脚本优化和定制:生成的脚本可以进一步优化和定制,以适应不同的测试需求,如特定的边界条件、错误注入和覆盖率分析。 总结来说,Kris是一种强大的工具,可以自动生成UVM平台上的测试脚本。它大大简化了验证工程师的工作,提高了验证环境和测试脚本的开发效率,有助于加速硬件设计的验证过程。 ### 回答3: UVM(通用验证方法学)平台自动生成脚本Kris是一种用于自动创建基于UVM架构的验证环境的工具。它可以极大地提高验证工程师的工作效率和减少错误率。 Kris具有以下功能和特点: 1. 自动生成UVM验证环境:Kris可以通过分析设计和规格文档,自动创建符合UVM标准的验证环境。它可以将设计单元实例化为验证组件,并生成各个组件之间的连接和配置代码。 2. 可扩展性:Kris支持用户自定义模板和规则。用户可以根据自己的需求和设计规范,编写自定义模板和规则集,从而定制化生成的脚本。 3. 支持不同级别的自动化:Kris可以在不同的粒度上自动生成脚本。从整个芯片级别的验证环境到单个验证组件的配置代码,Kris可以生成不同级别的脚本。 4. 支持复杂性和层次结构:Kris可以处理复杂的设计结构和层次化的验证环境。它可以自动识别和处理不同级别的验证组件,并为它们生成相应的配置和连接。 5. 支持常见的设计语言和验证语言:Kris可以分析各种设计语言和验证语言的代码,并生成相应的UVM脚本。它支持常见的设计语言,如Verilog和VHDL,以及常用的验证语言,如SystemVerilog。 总而言之,Kris是一种强大的工具,可以自动生成符合UVM标准的验证环境脚本。通过自动化验证环境的创建过程,Kris可以帮助验证工程师节省大量的时间和精力,并提高验证工作的效率和质量。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值