EMIF接口

在第一个项目中的首先就是调试DSP与FPGA之间的EMIF调试,EMIF调试的重点就在于时序

1.EMIF是一种并行总线结构

大致由时钟线、数据线(低有效)、地址线(低有效)、写使能(低有效)、读使能(低有效)、片选信号(低有效)6个信号(根据项目芯片不同可能有更多的并行信号)构成,并行总线的时序需要查看芯片手册

写为例:

打拍: AWE1<= AWE; AWE2<= AWE1;AWE3<= AWE2;

下降沿:AWE4<=AWE3 and (not AWE2);

        在写入时,在写使能有效期间(并且片选信号有效、地址线有效),通过对写使能打拍使其在下降沿有效时写入数据(在写使能下降沿时,此时数据线必须依然有效),从而实现代码的写入

读为例:

        在写入时,在读使能有效期间(并且片选信号有效、地址线有效),通过对读使能打拍使其打拍后的信号依然处于低有效读入数据(此时数据线必须依然有效),从而实现代码的读取

  • 3
    点赞
  • 63
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
EMIF(External Memory Interface)接口测试脚本testbench的主要目的是验证EMIF接口的功能和性能。下面是一个简单的EMIF接口测试脚本testbench的示例,可供参考: ```verilog `timescale 1ns/1ns module emif_tb; //定义时钟和复位信号 reg clk; reg rst; //定义EMIF接口信号 wire [31:0] data_in; wire [31:0] data_out; wire [11:0] addr; wire write_en; wire read_en; //实例化被测试的EMIF模块 emif_module dut ( .clk(clk), .rst(rst), .data_in(data_in), .data_out(data_out), .addr(addr), .write_en(write_en), .read_en(read_en) ); //时钟信号的生成 always #5 clk = ~clk; //复位信号的生成 initial begin rst = 1; #10 rst = 0; end //测试数据的生成 initial begin addr = 0; data_in = 32'hAAAAAAAA; write_en = 1; read_en = 0; #10; write_en = 0; read_en = 1; #10; $display("data_out = %h", data_out); #10; $finish; end endmodule ``` 在这个示例中,我们首先定义了时钟和复位信号。然后,我们定义了EMIF接口的输入和输出信号,并实例化了被测试的EMIF模块。接下来,我们生成了时钟和复位信号,并初始化了测试数据。在这个测试中,我们将写入一个32位的数据(0xAAAAAAAA)到地址0,并从地址0读取数据。最后,我们通过$display命令输出了读取的数据,并使用$finish命令结束了测试。 需要注意的是,这只是一个简单的示例,实际的EMIF接口测试脚本testbench需要更加全面和复杂,以确保对EMIF接口的功能和性能进行全面的验证。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值