VHDL四输入与非门74LS00编写及testbench文件仿真

1.新建工程
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
此处型号根据自己板子而定。

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
2.新建二输入与非门实体
在这里插入图片描述
MYNAND2.vhd文件如下

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MYNAND2 IS
	PORT(A,B:IN STD_LOGIC;
		Y:OUT STD_LOGIC);
END	ENTITY MYNAND2;

ARCHITECTURE ART1 OF MYNAND2 IS
	BEGIN
	Y<=A NAND B;
END ARCHITECTURE ART1;

在这里插入图片描述

3.MY74LS00.vhd文件如下:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MY74LS00 IS
	PORT(A1,B1,A2,B2,A3,B3,A4,B4:IN STD_LOGIC;
		Y1,Y2,Y3,Y4:OUT STD_LOGIC);
END	ENTITY MY74LS00;

ARCHITECTURE ART2 OF MY74LS00 IS
	--调用元器件声明
	COMPONENT MYNAND2 IS
		PORT(A,B:IN STD_LOGIC;
			Y:OUT STD_LOGIC);
	END COMPONENT MYNAND2;
	
	BEGIN
	--名称映射
	U1:MYNAND2 PORT MAP(A=>A1,B=>B1,Y=>Y1);
	U2:MYNAND2 PORT MAP(A=>A2,B=>B2,Y=>Y2);
	--位置映射
	U3:MYNAND2 PORT MAP(A3,B3,Y3);
	U4:MYNAND2 PORT MAP(A4,B4,Y4);
END ARCHITECTURE ART2;

4.编写testbench文件
参考链接
点击菜单栏中processing,选择start,选择start testbench template write。此时会自动生成testbench模板到项目文件夹simulation里面,后缀为.vht。在MY74LS00\simulation\modelsim文件夹下。
右键,点setting
在这里插入图片描述
点test benches
在这里插入图片描述

在这里插入图片描述
选择…,在文件夹弹窗里面选择刚才的vht文件
点击add,结果如下:
在这里插入图片描述
修改名字,和tb文件里面的entity一致
在这里插入图片描述
在MY74LS00\simulation\modelsim文件夹下找到MY74LS00.vht文件
testbench文件如下:

-- Copyright (C) 1991-2013 Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions 
-- and other software and tools, and its AMPP partner logic 
-- functions, and any output files from any of the foregoing 
-- (including device programming or simulation files), and any 
-- associated documentation or information are expressly subject 
-- to the terms and conditions of the Altera Program License 
-- Subscription Agreement, Altera MegaCore Function License 
-- Agreement, or other applicable license agreement, including, 
-- without limitation, that your use is for the sole purpose of 
-- programming logic devices manufactured by Altera and sold by 
-- Altera or its authorized distributors.  Please refer to the 
-- applicable agreement for further details.

-- ***************************************************************************
-- This file contains a Vhdl test bench template that is freely editable to   
-- suit user's needs .Comments are provided in each section to help the user  
-- fill out necessary details.                                                
-- ***************************************************************************
-- Generated on "05/07/2021 22:28:19"
                                                            
-- Vhdl Test Bench template for design  :  MY74LS00
-- 
-- Simulation tool : ModelSim-Altera (VHDL)
-- 

LIBRARY ieee;                                               
USE ieee.std_logic_1164.all;                                

ENTITY MY74LS00_vhd_tst IS
END MY74LS00_vhd_tst;
ARCHITECTURE MY74LS00_arch OF MY74LS00_vhd_tst IS
-- constants
constant clk_period :time   :=20 ns;                                                  
-- signals                                                   
SIGNAL A1 : STD_LOGIC;
SIGNAL A2 : STD_LOGIC;
SIGNAL A3 : STD_LOGIC;
SIGNAL A4 : STD_LOGIC;
SIGNAL B1 : STD_LOGIC;
SIGNAL B2 : STD_LOGIC;
SIGNAL B3 : STD_LOGIC;
SIGNAL B4 : STD_LOGIC;
SIGNAL Y1 : STD_LOGIC;
SIGNAL Y2 : STD_LOGIC;
SIGNAL Y3 : STD_LOGIC;
SIGNAL Y4 : STD_LOGIC;
COMPONENT MY74LS00
	PORT (
	A1 : IN STD_LOGIC;
	A2 : IN STD_LOGIC;
	A3 : IN STD_LOGIC;
	A4 : IN STD_LOGIC;
	B1 : IN STD_LOGIC;
	B2 : IN STD_LOGIC;
	B3 : IN STD_LOGIC;
	B4 : IN STD_LOGIC;
	Y1 : OUT STD_LOGIC;
	Y2 : OUT STD_LOGIC;
	Y3 : OUT STD_LOGIC;
	Y4 : OUT STD_LOGIC
	);
END COMPONENT;
BEGIN
	i1 : MY74LS00
	PORT MAP (
-- list connections between master ports and signals
	A1 => A1,
	A2 => A2,
	A3 => A3,
	A4 => A4,
	B1 => B1,
	B2 => B2,
	B3 => B3,
	B4 => B4,
	Y1 => Y1,
	Y2 => Y2,
	Y3 => Y3,
	Y4 => Y4
	);
init : PROCESS                                               
-- variable declarations                                     
BEGIN                                                        
        -- code that executes only once
	A1 <= '0';
	B1 <= '0';
	A2 <= '1';
	B2 <= '0';
	A3 <= '0';
	B3 <= '1';
	A4 <= '1';
	B4 <= '1';
WAIT;                                                       
END PROCESS init;                                           
always : PROCESS                                              
-- optional sensitivity list                                  
-- (        )                                                 
-- variable declarations                                      
BEGIN                                                         
        -- code executes for every event on sensitivity list
WAIT;                                                        
END PROCESS always;                                          
END MY74LS00_arch;

5.quartusII 点tools–run simulation tool–RTL simulation,内联modelsim启动,仿真结果如下

仿真结果:
在这里插入图片描述

  • 4
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
比较完整的protues元件库 相关搜索: 元件, protues rotues元件库中英文对照表,对初学者找不到元件的很有用 元件名称 中文名 说明 7407 驱动门 1N914 二极管 74Ls00 与非门 74LS04 非门 74LS08 与门 74LS390 TTL 双十进制计数器 7SEG 4针BCD-LED 输出从0-9 对应于4根线的BCD码 7SEG 3-8译码器电路BCD-7SEG转换电路 ALTERNATOR 交流发电机 AMMETER-MILLI mA安培计 AND 与门 BATTERY 电池/电池组 BUS 总线 CAP 电容 CAPACITOR 电容器 CLOCK 时钟信号源 CRYSTAL 晶振 D-FLIPFLOP D触发器 FUSE 保险丝 GROUND 地 LAMP 灯 LED-RED 红色发光二极管 LM016L 2行16列液晶 可显示2行16列英文字符,有8位数据总线D0-D7,RS,R/W,EN三个控制端口(共14线),工作电压为5V。没背光,和常用的1602B功能和引脚一样(除了调背光的二个线脚) LOGIC ANALYSER 逻辑分析器 LOGICPROBE 逻辑探针 LOGICPROBE[BIG] 逻辑探针 用来显示连接位置的逻辑状态 LOGICSTATE 逻辑状态 用鼠标点击,可改变该方框连接位置的逻辑状态 LOGICTOGGLE 逻辑触发 MASTERSWITCH 按钮 手动闭合,立即自动打开 MOTOR 马达 OR 或门 POT-LIN 三引线可变电阻器 POWER 电源 RES 电阻 RESISTOR 电阻器 SWITCH 按钮 手动按一下一个状态 SWITCH-SPDT 二选通一按钮 VOLTMETER 伏特计 VOLTMETER-MILLI mV伏特计 VTERM 串行口终端 Electromechanical 电机 Inductors 变压器 Laplace Primitives 拉普拉斯变换 Memory Ics Microprocessor Ics Miscellaneous 各种器件 AERIAL-天线;ATAHDD;ATMEGA64;BATTERY;CELL;CRYSTAL-晶振;FUSE;METER-仪表; Modelling Primitives 各种仿真器件 是典型的基本元器模拟,不表示具体型号,只用于仿真,没有PCB Optoelectronics 各种发光器件 发光二极管,LED,液晶等等 PLDs & FPGAs Resistors 各种电阻 Simulator Primitives 常用的器件 Speakers & Sounders Switches & Relays 开关,继电器,键盘 Switching Devices 晶阊管 Transistors 晶体管(三极管,场效应管) TTL 74 series TTL 74ALS series TTL 74AS series TTL 74F series TTL 74HC series TTL 74HCT series TTL 74LS series TTL 74S series Analog Ics 模拟电路集成芯片 Capacitors 电容集合 CMOS 4000 series Connectors 排座,排插 Data Converters ADC,DAC Debugging Tools 调试工具 ECL 10000 Series 各种常用集成电路 protues常用器件2007-08-08 14:18分分立元件库元件名称及中英对照 AND 与门 ANTENNA 天线 BATTERY 直流电源 BELL 铃,钟 BVC 同轴电缆接插件 BRIDEG 1 整流桥(二极管) BRIDEG 2 整流桥(集成块) BUFFER 缓冲器 BUZZER 蜂鸣器 CAP 电容 CAPACITOR 电容 CAPACITOR POL 有极性电容 CAPVAR 可调电容 CIRCUIT BREAKER 熔断丝 COAX 同轴电缆 CON 插口 CRYSTAL 晶体整荡器 DB 并行插口 DIODE 二极管 DIODE SCHOTTKY 稳压二极管 DIODE VARACTOR 变容二极管 DPY_3-SEG 3段LED DPY_7-SEG 7段LED DPY_7-SEG_DP 7段LED(带小数点) ELECTRO 电解电容 FUSE 熔断器 INDUCTOR 电感 INDUCTOR IRON 带铁芯电感 INDUCTOR3 可调电感 JFET N N沟道场效应管 JFET P P沟道场效应管 LAMP 灯泡 LAMP NEDN 起辉器 LED 发光二极管 METER 仪表 MICROPHONE 麦克风 MOSFET MOS管 MOTOR AC 交流电机 MOTOR SERVO 伺服电机 NAND 与非门 NOR 或非门 NOT 非门 NPN NPN三极管 NPN-PHOTO 感光三极管 OPAMP 运放 OR 或门 PHOTO 感光二极管 PNP 三极管 NPN DAR NPN三极管 PNP DAR PNP三极管 POT 滑线变阻器 PELAY-DPDT 双刀双掷继电器 RES1.2 电阻 RES3.4 可变电阻 RESISTOR BRIDGE ? 桥式电阻 RESPACK ? 电阻 SCR 晶闸管 PLUG ? 插头 PLUG AC FEMALE 三相交流插头 SOCKET ? 插座 SOURCE CURRENT 电流源 SOURCE VOLTAGE 电压源 SPEAKER 扬声器 SW ? 开关 SW-DPDY ? 双刀双掷开关 SW-SPST ? 单刀单掷开关 SW-PB 按钮 THERMISTOR 电热调节器 TRANS1 变压器 TRANS2 可调变压器 TRIAC ? 三端双向可控硅 TRIODE ? 三极真空管 VARISTOR 变阻器 ZENER ? 齐纳二极管 DPY_7-SEG_DP 数码管 SW-PB 开关 点阵 MATRIX

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值