IC验证常用缩写(一、红宝书前三章)

EE/CS缩写基础知识
科学计数法:a×10^b=(aEb)
一般说50MHz的方波信号 到底指的是什么?
50MH频率——周期20纳秒
100MH频率——周期10纳秒
50MHz(50E6Hz)的方波,就bai是指方波的频率du是50MHz,或者说,每秒钟范围内,有50M个方波波形。就是大约1/(5010E6) = 0.0210E-6秒 =20*10E-6秒=20纳秒,如果按照方波占空比为50%考虑,每个方波的宽度将是 10 纳秒。
CIN:(Carry INput)进位输入
COUT:(Carry OUTput)进位输出
counter_top顶层
Binary二进制 01001B
Decimal十进制
Octal八进制 267O
Hex十六进制 4AC8H=0x4AC8

MUX(数据选择器(multiplexer))在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关。 产品规格 有4选1数据选择器、8选1数据选择器(型号为74151、74LS151、74251、74LS153)、16选1数据选择器(可以用两片74151连接起来构成)等之分。如在数字电路中,mux6常指6路开关、mux6to1(mux6_1)常指6选1数据选择器。

第一章芯片验证全视

ALU(arithmetic logic unit)运算器(算术逻辑单元)
SoC(system -on -chip)系统集成芯片(片上系统)
HDL( hardware Description Language)硬件描述语言:包括VHDL和Verilog、SystemVerilog
HVL( hardware Verifiction Language)硬件验证语言:
EDA(electronic design automation)电子设计自动化
HLS(High Level Synthesis高抽象级综合)
RTL级(register transistor level)寄存器级别
register寄存器 Q port输出端 Clk port时钟端
corner case 边界情况
error response错误情况
gate netlist 门级网表
gate level门级
checklist检查表
zero delay零延时
unit delay单位延时
backend 后端人员
SDF(standard delay format)标准延时格式
tape out流片
DFT(design for test)可测试性设计
synthesis tooling综合工具
pre-silicon硅前流程
post-silicon硅后流程
效能验证流程 power aware verification
跨时钟域clock domain crossing
UVM(univrsal verification mathodology )通用验证方法学
biasing偏置
四个验证层次与环境:
module/unit/block level模块级
subsystem level子系统级
chip level 芯片系统级(芯片级)
post-silicon system level硅后系统级

mile-stone节点(路上的石碑)
回归测试regression
测试用例 test case
激励产生器stimulus generator(stimulator)
监视器monitor
检查器checker
参考模型reference model
数据比较器data comparator
fabrication facility(制造工厂) 半导体生产商
MCDF()多通道数据整形器

interface 接口
clocking 接口中的时序块
modport 在接口中进一步限定信号传输方向

第二章验证的策略

TLM(transaction level model )事务级模型
DMA(direct memory access)直接存储器访问
ESL(electronic system-level)电子系统级开发
waterfall瀑布流形式
虚拟原型virtual prototype
接口类型interface type:
系统控制接口system control interface
标准总线接口standard bus interface
非标准总线接口non-standard bus interface
时钟门控信号clock gating signal
测试接口test interface

激励组件verification component
packet包
frame帧
可控性controllability
组间的独立性component independency
组合自由度 combination space
中心统筹式centrally organized
分布事件驱动式distributed event driven
不同层次的检查方法:
监测器monitor
断言assertion
参考模型reference model
比较器comparator/acoreboard
定向测试
形式验证

验证平台test-bench/test-fixture
DUT(design under test)待测模块=待测设计=DUV
DUV(Design Under Verification)待验证的代码
BFM(bus function moudle)总线功能模型
验证集成环境
验证平台(verification platform)
待验设计(design under verification)DUV
运行环境(runtime environment)
验证管理(verification management)

三类检查:
线上检查(online check)
线下检查(offline check)
断言检查(assertion check)

两种激励:
定向激励
随机激励

仿真全流程建立;
文件提取(extraction)
文件依赖度分析(dependency analysis)
编译(compliation and elaboration)
仿真(simulation)
结果分析(result analysis)
回归测试(regression builder)

环境建设者 environment builder
脚本script
验证管理工具考虑因素:
验证计划和进度管理(verification plan and progress management)
文件版本控制管理(file version control management)
项目环境配置管理(project environment configuration management)
缺陷率跟踪管理(defect tracking management)

第三章 验证的方法

主要的验证方法:
动态仿真(dynamic simulation)
静态检查(formal check)
虚拟模型(virtual prototype)
硬件加速(hardware acceleration)
电源功耗(power consumption)
性能评估(performance evaluation)

CRT (control random test)受约束的随机测试法
CRC 循环冗余校验码 与海明校验码类似,CRC码也是数据通讯中常用的校验方式。CRC 算法的基本思想是将传输的数据当做一个位数很长的数。将这个数除以另一个数。得到的余数作为校验数据附加到原数据后面。

类与对象:
SRP 单一职责原则( single responsibility principle)
OCP 开放封闭原则(open closed principle)
PRNG(pseudorandom number generator)伪随机数生成器是指通过特定算法生成一系列的数字,使得这一系列的数字看起来是随机的,但是实际是确定的,所以叫伪随机数。
soft(软约束):出现冲突时,软约束优先级最低,失效

触发器和锁存器:
Flip-Flop:特指D触发器(在芯片设计中),Flip-Flop和Latch都可以用于存储数据。一般意义上讲。因为输入和输出之间没有什么线性的关系,没达到触发条件什么都不会发生,达到触发条件了啪一下翻过去,英文里flip-flop就是啪一下翻过去的感觉,中文从功能角度出发就是触发器。
Latch:指锁存器。其最大的区别在于,触发器是边沿触发,锁存器则是电平触发。从面积大小来看,触发器的面积要比锁存器大很多,但一般在设计中希望尽可能减少latch的产生,原因主要在于电平触发对于毛刺等的敏感性过高。相比之下,触发器只在触发时进行数据的存储,在电平阶段,输出数据与输入端没有关系,稳定性和可靠性较高

三段式状态机:
便于阅读,维护,利于综合,也不容易出现latch:
第一步同步时序逻辑点出次态nextstate,
第二步描述次态,开始记得初始NS,
第三步,从当前状态组合逻辑描述输出。

——————————————————————————————————————————————————
爱吃鱼的嗷大喵(知乎同号),走过很多弯路的材料专业在读硕士,
正在转行中,
不定期发布心得体会,
有兴趣可以关注我。

  • 3
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

我在哪我是谁啊

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值