HDLBits Day10 Edgecapture

在这里插入图片描述
这个题是检测下降沿,检测到后out一直为高,知道reset == 1时,out为0。
我自己做:先通过边沿检测,得到一个脉冲信号,然后if这个脉冲信号为高,将其输出给out,输出为低就不管(会保持前面状态)。
但是这样做,边沿检测时会延迟一个clk,在将其输出给out时又会延迟一个clk,这样就与题目中给的时序图不符,看网上答案:

module top_module (
    input clk,
    input reset,
    input [31:0] in,
    output [31:0] out
);
    reg [31:0]	in_reg;
    
    always@(posedge clk)begin
        in_reg <= in;
    end
    
    always@(posedge clk)begin
        if(reset)begin
            out <= 32'd0;
        end
        else begin
            out <= ~in & in_reg | out;
        end
    end
endmodule

他是直接将边沿检测信号与输出信号本身相或赋值给输出信号,就能在一个clk下完成,得到与题目符合的时序图。牛皮。
out <= ~in & in_reg | out;
out <= ~in & in_reg | out;
out <= ~in & in_reg | out;

  • 5
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值