verilog手撕代码——找出高位或低位第一个出现1

module find_first
#(
    parameter WIDTH = 6
)(
    input [WIDTH-1:0] req,
    output [WIDTH-1:0] grant
);



genvar i;

if(lsb_first)
    begin:lsb_to_msb
        assign grant[0] = req[0];
        for(i=1;i<WIDTH;i=i+1)begin
            grant[i] = req[i] & ~|grant[i-1:0];
        end    
    end
else if(!lsb_first)
    begin:msb_to_lsb
        assign grant[WIDTH-1] = req[WIDTH-1];
        for(i=WIDTH-2;i>0;i=i-1)begin
            grant[i] = req[i] & ~|grant[WIDTH-1:i+1];
        end
    end

endmodule

  • 7
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
这段代码是一个Verilog的函数,用于判断输入向量是否为onehot编码。引用中的SystemVerilog代码定义了一个自动的函数is_onehot,它接受一个输入向量sig,函数内部使用一个名为parity的逻辑向量来计算输入向量的奇偶校验位。函数中的for循环用于遍历输入向量的每一个位,并使用异或操作符^将每个位与前一个位的奇偶校验位进行异或运算。最后,函数使用逻辑与运算符&和逻辑或运算符|对奇偶校验位进行比较,以判断输入向量是否为onehot编码。如果所有条件都满足,则函数返回true,否则返回false。 引用中的代码也是一个Verilog的函数,名为is_onehot,它使用类似的方法来判断输入向量是否为onehot编码。函数内部的逻辑和运算符&&被用于检查奇偶校验位的条件。与中的代码相比,这段代码多了一个向量前导1检测器的注释,但实际上代码内容是相同的。 总结来说,这段Verilog代码定义了一个用于判断输入向量是否为onehot编码的函数,它使用奇偶校验位和逻辑运算符来实现。这种编码的一大特点是,在输入向量的每一位中,只有一个位被置为1,其他位均为0。这种编码方式在一些应用中具有重要意义,例如多路选择器、优先编码器等。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [Verilog代码(11)1检测(统计个数、独热码检测、1的位置检测)](https://blog.csdn.net/m0_51965113/article/details/131374816)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [暑期实习准备——牛客Verilog刷题(完结)](https://blog.csdn.net/diamond_biu/article/details/129207228)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值