vivado下创建基本时序周期约束

本文档详细介绍了如何在Vivado环境下创建基本时序周期约束,包括时钟描述、主时钟与生成时钟的定义、时钟分组以及约束时钟频率的方法。内容涵盖时钟周期、占空比、相位等概念,并提供了相关链接以深入理解时序约束的重要性。
摘要由CSDN通过智能技术生成

创建基本时钟周期约束。(验证我们的设计能否在期望的频率上运行)

(学习记录,晚一点会做实验传上来的。)

 

时钟基本概念:https://blog.csdn.net/wordwarwordwar/article/details/78259208

时序约束的基本概念:https://blog.csdn.net/zz_Caleb/article/details/84453792

  1. 约束是如何构成的
    1. 时序约束
    2. 物理约束
    3. 当前的约束是用在哪个过程中
      1. 通常情况下,时序约束是在综合和实现的时候都会用到,物理约束一般是在实现的时候会被用到。
      2. 如果我们有多个约束文件,我们一定需要一个target文件,用于保存最新额约束。方法是左键选择
  2. 时钟描述
    1. 基本要素
  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值