FPGA入门学习笔记(七)Vivado之阻塞赋值非阻塞赋值

仿真波形

在这里插入图片描述

在这里插入图片描述

设计文件程序

`timescale 1ns / 1ps
module block_unblock(
    input Clk,
    input Reset_n,
    input a,
    input b,
    input c,
    output reg [1:0]out
    );
    reg [1:0] d;
    always@(posedge Clk or negedge Reset_n)
    if(Reset_n == 0)begin
        out <= 0;
        d <= 0;
    end
    else begin
        out = d + c;
        d = a + b;
//        out <= d + c;
//        d <= a + b;  
    end
endmodule

仿真文件程序

`timescale 1ns / 1ns

module block_unblock_tb();
    reg Clk;
    reg Reset_n;
    reg a;
    reg b;
    reg c;
    wire [1:0]out;
    block_unblock block_test(
        .Clk(Clk),
        .Reset_n(Reset_n),
        .a(a),
        .b(b),
        .c(c),
        .out(out)
    );
    initial Clk <= 1;
    always #10 Clk <= !Clk;
    initial begin
        Reset_n <= 0;
        a = 0; b = 0; c = 0;
        #200;
        Reset_n = 1;
        #200;
        a = 0; b = 0; c = 0;
        #200;
        a = 0; b = 0; c = 1;
        #200;
        a = 0; b = 1; c = 0;
        #200;
        a = 0; b = 1; c = 1;
        #200;
        a = 1; b = 0; c = 0;
        #200;
        a = 1; b = 0; c = 1;
        #200;
        a = 1; b = 1; c = 0;
        #200;
        a = 1; b = 1; c = 1;
        #200;
        $stop;
    end
    
endmodule

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值