Verilog基础

数据表示

4’ha:16进制数a
①4表示数据的实际位宽(二进制),h表示后面的a是个16进制数。
②数据默认是32位,十进制。

`timescale 1ns/1ps

"`timescale"是预编译指令,用来定义仿真器的时间单位和时间精确度
①1ns指程序中的时间单位是1ns
比如:#200 指的是延时200ns
②1ps指的是精度为1ps
比如:#200.123 指的是延时200.123ns

X、Z

X:表示此变量的值未知
Z:高阻状态,外部无输入,悬空

assign、always

assign:描述组合逻辑
always:描述组合/时序逻辑
注:在always块内部,逻辑顺序执行,而多个always块之间是并行的

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

数据线

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值