Exams/2014 q3bfsm

在这里插入图片描述

module top_module (
    input clk,
    input reset,   // Synchronous reset
    input x,
    output z
);

    parameter s0 = 3'b000, s1=3'b001, s2=3'b010, s3=3'b011, s4=3'b100;
    reg [2:0] state, next_state;
    
    always @(*)
        begin
            case(state)
                s0: next_state = x ? s1 : s0;
                s1: next_state = x ? s4 : s1;
                s2: next_state = x ? s1 : s2;
                s3: next_state = x ? s2 : s1;
                s4: next_state = x ? s4 : s3;
            endcase
        end
    
    always @(posedge clk)
        begin
            if(reset)
                state <= s0;
            else
                state <= next_state;
        end
    
    assign z = (state == s3 || state == s4);
endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值