HDLBits Exams/2014 q3fsm 详解

Consider a finite state machine with inputs s and w. Assume that the FSM begins in a reset state called A, as depicted below. The FSM remains in state A as long as s = 0, and it moves to state B when s = 1. Once in state B the FSM examines the value of the input w in the next three clock cycles. If w = 1 in exactly two of these clock cycles, then the FSM has to set an output z to 1 in the following clock cycle. Otherwise z has to be 0. The FSM continues checking w for the next three clock cycles, and so on. The timing diagram below illustrates the required values of z for different values of w.

Use as few states as possible. Note that the s input is used only in state A, so you need to consider just the w input.

 我的思路:添加三个状态机以代替对三个周期进行计数,并在状态机跳转时对w=1的次数进行计数。具体状态图如下:

 

 虽然多用了三个状态机,但这种写法网上还没见过,所以分享一下。

module top_module (
    input clk,
    input reset,   // Synchronous reset
    input s,
    input w,
    output z
);
    parameter s0=0,s1=1,s2=2,A=3,B=4;
    reg [2:0] state,next;
    reg [1:0] c;
    //状态逻辑变化
    always@(*)begin
        case(state)
            A : next<=s?B:A;
            B : begin next<=s0;end
            s0 : begin next<=s1;end
            s1 : begin next<=s2;end
            s2 : begin next<=s0;end
            default : next<=A;
        endcase
    end
    //时钟上升沿变化
    always@(posedge clk)
        if(reset ) state<=A;
        else 
            begin
                case(state)
                    B : begin state<=next;c<=w?1:0;end
                    s0 :begin state<=next;c<=w?(c+1):c;end
                    s1 :begin state<=next;c<=w?(c+1):c;end
                    s2:begin state<=next;c<=w?1:0;end    
                    default : state<=next;
                endcase
                //state<=next;
            end
    assign z = (state==s2&&c==2'h2);//进入s2状态且三个周期内计数为2
endmodule
  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值