【VHDL】利用计数器实现20分频,占空比为50%

本文介绍了如何使用VHDL设计一个20分频计数器,确保输出信号的占空比为50%。通过程序代码和仿真实验结果,展示了实现过程。
摘要由CSDN通过智能技术生成

利用计数器实现20分频,占空比为50%

程序:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
--USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CNT_20 IS
PORT(CLK:IN STD_LOGIC;
	CNT:BUFFER INTEGER;
	C1:OUT STD_LOGIC);
END ENTITY;
  • 4
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值