Verilog基础:巴科斯范式(BNF)

相关阅读

Verilog基础专栏icon-default.png?t=N6B9https://blog.csdn.net/weixin_45791458/category_12263729.html?spm=1001.2014.3001.5482


        由于Verilog HDL标准中对语法的描述使用了Backus Naur Form(BNF)。本文将对其中的约定进行描述。

  • 小写单词,其中一些包含嵌入的下划线,用于表示语法类别。例如:module_declaration
  • 粗体字用于表示保留的关键字、运算符和标点符号,它们作为语法的必要部分。例如:module      =>       ;
  • 竖线用于分隔备选项目,除非它以粗体显示,在这种情况下,它代表自己。例如:unary_operator ::= | ! |  ~ |  & ~& |~| ~^ ^~
  • 方括号包含可选项目。例如:input_declaration ::= input [range]  list_of_variables;
  • 大括号({ })将重复项括起来,除非它以粗体显示,在这种情况下,它代表自己。大括号内的项目可能出现零次或多次;这与等价的左递归规则一样,重复从左到右发生(即用自己定义自己)。因此,以下两条规则是等效的:                                                        list_of_param_assignments ::= param_assignment  { , param_assignment }  list_of_param_assignments ::=  param_assignment | list_of_param_assignment , param_assignment
  • 如果任何类别的名称以斜体部分开头,则相当于没有斜体部分的类别名称(可以忽略斜体部分)。斜体部分旨在传达一些语义信息。例如,“msb_index”和“lsb_index”等同于“index”。

        在本文,使用过程赋值的BNF定义作为例子讲解,如下图所示。

        第一个范式首先将blocking_assignment定义为左边是variable_lvalue 中间是等号 = 而右边是可选的delay_or_event_control加上expression。

        第二个范式定义了delay_control为#加上delay_value或者#加上小括号()包围的mintypmax_expression。

        第三个范式定义了第一个范式中的delay_or_event_control,为delay_control或event_control或使用repeat语句的event_control。

        第四个范式定义了第三个范式中的event_control,为@加上hierarchical_event_identifier或@加上小括号()包围的event_expression以及Verilog2001标准新增的@(*)或@*。

        第五个范式定义了第四个范式中的event_expression,为expression,或posedge关键词加上表达式或negedge关键词加上表达式,或or连接的event_expression,或,连接的event_expression(递归定义自己)。

        最后一个范式定义了variable_lvalue可以是hierarchical_variable_identifier加上可选的[]包围的多个数组表达式索引,以及可选的最后的[]包围的位选或域选表达式,variable_lvalue还可以是使用拼接运算符{}连接起来的多个variable_lvalue(递归定义自己)。

        注意到,这里的定义中,有一些语法类别的定义并未给出,比如expression或range_expression,这些类别一般使用广泛,会在其他地方统一给出定义,可以自行查阅。

        

        

  • 14
    点赞
  • 38
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 9
    评论
引用提供的内容是关于Verilog语法的说明。引用中提供了使用Verilog的应用选项的说明。引用给出了Verilog HDL中规定的四种基本值类型。根据这些引用的内容,可以得出以下回答: Verilog是一种硬件描述语言(Hardware Description Language),用于描述和设计数字电路。它可以用来描述电路的结构、功能和时序等特性。在Verilog中,可以使用不同的语句和操作符来表示逻辑和时序关系,以便实现所需的电路功能。 关于Verilog的应用选项,可以使用命令行工具来对Verilog代码进行格式化。使用特定的命令和选项可以指定要格式化的Verilog文件,以及其他格式化的要求。 在Verilog HDL中,定义了四种基本的值类型:逻辑0或"假"、逻辑1或"真"、未知值和高阻值。这些值类型在语言中有预定义的含义。例如,一个值为Z的表示高阻值,一个值为0的通常表示逻辑0。在门的输入或表达式中,值为Z的通常解释为X。此外,X值和Z值的大小写不敏感,即0x1z与0X1Z表示相同的值。Verilog HDL中的常量由这四种基本值类型组成。 综上所述,Verilog是一种用于描述和设计数字电路的硬件描述语言,可以使用应用选项对Verilog代码进行格式化,而Verilog HDL中定义了四种基本值类型。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [verilog语法中+:和-:用法](https://blog.csdn.net/yh13572438258/article/details/121809886)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [verilog-format:Verilog格式化程序](https://download.csdn.net/download/weixin_42170064/18422664)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [Verilog基础知识](https://blog.csdn.net/qq_38531460/article/details/106872142)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 9
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

日晨难再

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值