Verilog刷题HDLBits——Exams/2014 q3fsm

Verilog刷题HDLBits——Exams/2014 q3fsm

题目描述

Consider a finite state machine with inputs s and w. Assume that the FSM begins in a reset state called A, as depicted below. The FSM remains in state A as long as s = 0, and it moves to state B when s = 1. Once in state B the FSM examines the value of the input w in the next three clock cycles. If w = 1 in exactly two of these clock cycles, then the FSM has to set an output z to 1 in the following clock cycle. Otherwise z has to be 0. The FSM continues checking w for the next three clock cycles, and so on. The timing diagram below illustrates the required values of z for different values of w.

Use as few states as possible. Note that the s input is used only in state A, so you need to consider just the w input.
在这里插入图片描述

代码

module top_module (
    input clk,
    input reset,   // Synchronous reset
    input s,
    input w,
    output z
);
    
    parameter A=0,B=1;
    reg state,next_state;
    reg[1:0] count,count_p;
    
    always@(*)
        case(state)
            A:next_state=s?B:A;
            B:next_state=B;
        endcase
    
    always@(posedge clk)
        if(reset)
            state<=A;
    	else
            state<=next_state;
    
    always@(posedge clk)
        if(reset)
            count_p<=0;
        else if(state==B)
            begin
                if(count_p==2)
                    count_p<=0;
                else
                    count_p<=count_p+1;
            end
    
    always@(posedge clk)
        if(reset)
            count<=0;
        else
            if(state==B)
                begin
                    if(count_p==0)
                        count<=w;
                    else if(w)
                        count<=count+w;
                end
    
    assign z = (count_p==0&&count==2);

endmodule

结果

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值