自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(7)
  • 资源 (28)
  • 收藏
  • 关注

原创 FIFO IP的使用

FIFO的读写测试1 新建工程2 添加fifo IP核和ila IP核2.1 添加fifo IP核,并设置参数。2.2 添加ila核,并设置参数。3 新建源文件并编写源文件3.1 新建源文件v_fifo(顶层文件),编写源文件3.2 新建源文件fifo_wr(写模块),编写源文件3.3 新建源文件fifo_rd(读模块),编写源文件4 编写仿真文件5 结果5.1 仿真文件观察结果5.2 ila观察结果1 新建工程2 添加fifo IP核和ila IP核2.1 添加fifo IP核,并设置参数。**参

2021-05-29 21:15:50 2991 5

原创 FPGA IP核的生成和调用

1、新建ip核的工程,74x1381. 1、新建源程序,编写源程序module v_74x138( input g1, input g2a_l, input g2b_l, input [2:0] a, output [7:0] y_l ); reg [7:0] y_l=0; always @(g1 or g2a_l or g2b_l or a) begin if(g1 && ~g2a_l &

2021-05-22 17:15:28 4553 1

原创 FPGA常见问题解决方法

1、如何例化IP2、IP怎么调用///////////////// 调用 PLL IP ///////////////////// // 功能说明:程序中先用实例化 clk_wiz_0, 把单端 50Mhz 时钟信号输入到 clk_wiz_0 的 clk_in1,把clk_out4 的输出赋给 clk_out。 clk_wiz_0 clk_wiz_0_inst ( // clock in ports .clk_in1(sys_clk), /

2021-05-21 21:46:54 5780

原创 FPGA之锁相环(PLL)

FPGA之锁相环(PLL)IP核(PLL核)如何调用1、建立工程2、PLL核配置3、新建源文件,编写源文件4、新建约束文件,编写约束文件5、新建仿真文件,编写仿真文件6、下载程序实验结果IP核(PLL核)如何调用1、建立工程2、PLL核配置---------点击 Project Manager 界面下的 IP Catalog--------搜索clock -------双击clocking wizard,打开配置界面------默认这个 Clocking Wizard 的名字为 clk_wiz_0

2021-05-21 20:07:34 3421 4

原创 ZYNQ基本用法------DDR(2)

PS端对DDR进行读写(二)1 硬件环境搭建2 软件部分2.1 源代码2.2 仿真结果1 硬件环境搭建见ZYNQ基本用法------DDR(1)2 软件部分见ZYNQ基本用法------DDR(1)2.1 源代码//使用PS控制DDR3的读写/*C语言中%X的意思是以十六进制数形式输出整数,类似%x的输出格式还有:1.%c:单个字符2.%d:十进制整数3.%f :十进制浮点数4.%o:八进制数5. %s :字符串6.%u:无符号十进制数*//* Canonical d

2021-05-10 21:06:08 1620

原创 C语言之结构体(1)

#include <stdio.h>struct{ char *name; //姓名 int num; //学号 int age; //年龄 char group; //所在小组 float score; //成绩 } stu1;int main(){ //给结构体成员赋值 stu1.name = "Tom"; stu1.num = 12; stu1.age = 18; stu1.group = 'A'; stu1.s

2021-05-10 20:32:51 106

原创 ZYNQ基本用法------DDR(1)

PS端对DDR进行读写DDR原理硬件部分1、建立工程2、点击“Create Block Design”,创建一个 Block 设计,也就是图形化设计3、点击“Add IP”快捷图标,搜索ZYNQ,然后双击。4、双击 Block 图中的“processing_system7_0”,配置相关参数1、首先出现的界面是 ZYNQ 硬核的架构图,可以很清楚看到它的结构,可以参考 ug585 文档,里面有对 ZYNQ 的详细介绍。图中绿色部分是可配置模块,可以点击进入相应的编辑界面,当然也可以在左侧的窗口进入编辑。下面

2021-05-10 19:32:20 15521 10

我对卡尔曼滤波的理解(很详细)

1、状态噪声和测量噪声的含义和对滤波的影响; 2、状态空间模型对滤波的影响; 3、卡尔曼增益的由来和物理含义。

2023-05-28

利用Vivado的 FFT IP 核估计信号的幅度和频率

1、频率估计:计算公式:m_axis_data_tuser* fs/COUNT=82*250M/1024= 20.0195MHz 2、幅度估计:如果输入的是复信号,最后输出的值是信号幅度的有效值。如果输入的是实信号,最后输出的值是信号幅度的有效值的一半。

2022-10-01

空时抗干扰自由度分析,空域和时域的自由度分析

空时抗干扰自由度分析,空域和时域的自由度分析

2022-08-06

vivado FIFO的使用

vivado FIFO的使用

2022-03-28

FPGA实现信号n倍插值(内插0)

FPGA实现信号n倍插值(内插0)

2022-03-26

ZYNQ程序固化操作手册,非常详细

ZYNQ程序固化操作手册,非常详细

2022-03-06

FPGA程序固化步骤,非常详细

FPGA程序固化步骤,非常详细

2022-03-06

PL端的数据通过DMA传到PS端,每次传输数据的位宽为64bit,深度为2048.

1、数据传输没有遗漏,PL端的数据和PS端的数据一致。

2022-03-05

FIFO的读写控制.zip

FIFO的读写控制

2022-01-07

FIR IP的滤波器系数重加载方法.zip

FIR Compiler模块提供了两种滤波器系数重加载方法。 1、reload方法,利用reload接口写入新系数。 2、config方法,提前将系数分组后写到Coefficient Vector中,再通过config接口写入系数组编号,选择相应的滤波器系数。与reload方法相比,config方法较为简单,缺点是灵活性不够,需要提前规划好所有需要重配置的滤波器系数。

2022-01-05

LMS算法的基本理论和MATLAB仿真.zip

LMS算法的基本理论和MATLAB仿真.zip

2021-12-09

卡尔曼滤波之Singer模型.zip

基本卡尔曼滤波、扩展卡尔曼滤波器算法之Singer算法

2021-09-13

如何将DDR的数据传给PL端

如何将DDR的数据传给PL端

2021-07-19

FPGA如何利用查表法得到某角度所对应的正弦值、余弦值

1、FPGA如何利用查表法得到某角度所对应的正弦值、余弦值 2、完整文件 3、详细分析

2021-07-12

pl_FIR_hilbert_v2_modl.zip

1、MATLAB产生希尔伯特滤波器的输入数据; 2、在FPGA将输入数据变成复信号,输出数据的实部和虚部

2021-07-07

滤波器延时的确定分析.zip

1、包含基本原理 2、包含matlab代码

2021-06-11

F_3_way_line.m

均匀线阵方向图的三种形成方法

2021-06-08

数字下变频(DDC).zip

数字下变频matlab仿真

2021-06-08

成型滤波器原理和matlab仿真.zip

1、成型滤波器基本原理介绍 2、成型滤波器的设计与matlab仿真

2021-06-08

SAR雷达成像MATLAB仿真.zip

1.回波信号的产生。 2.距离压缩(预滤波;距离向FFT;距离向匹配滤波;IFFT,完成距离压缩)。 3.方位压缩(方位向FFT;距离迁移校正;方位向匹配滤波;方位向IFFT)。

2021-06-08

repo_AD9238.zip

FPGA实现将ADC的数据 转化为AXI stream接口

2021-06-08

pl_AD9238_v5.zip

1、使用FPGA采集外部数据 2、实现两路通道数据采集

2021-06-08

dma_loopback_zdyz.zip

1、完成使用PL的AXI DMA IP核从DDR3中读取数据,并将数据写回到DDR3中。 2、原理介绍 3、完整工程

2021-06-08

关于BPSK、QPSK、MSK、QAM的调制与解调

1、关于BPSK、QPSK、MSK、QAM的调制与解调 2、噪声的产生 3、滤波器的设计和使用 4、星座图、眼图的绘制

2020-06-11

16点阵(终).zip

设计并制作一个点阵分辨率不低于16*16的LED显示屏,在控制器的管理下,可以显示文字和图片,并有不同的显示效果(例如:上、下、左、右移动),并可以通过一个光笔在屏上实现点亮、划亮和书写等功能。LED点阵模块的发光颜色不限,但要求分辨率不低于16&times;16。各种功能的切换方式自定,但应力求操作简单和演示方便。系统应采用5V单电源供电。LED点阵模块应直接受控制器控制,中间不可使用带MCU的成品控制器。

2020-06-11

MSK_signal.m

msk信号的原理,调制过程,以及波形图观察。 最小频移键控(MSK)是2FSK的改进。2FSK体制虽然性能优良、易于实现,并且应用广泛,但是它也有不足之处。首先,它占用的频带宽度比2PSK大,即频率利用率较低。其次若用开关法产生2FSK信号,则相邻码元波形的相位可能不连续,信号会包含很多高频分量。因此在通过带通特性的电路后,由于通频带的限制,高频分量被抑制,使得信号波形的包络产生很大起伏。此外,一般来说,2FSK信号的两种码元波形不一定严格正交。若二进制信号的两种码元相互正交,则其误码率性能将更好。

2020-06-01

bpsk_tiaozhi_jietiao.m

1、信号处理如何根据信号的发送与接收流程图编写代码。 2、BPSK信号的调制与解调,低通滤波和匹配滤波的比较,星座图和眼图的绘制 3、数字信号处理部分函数调用参数的说明。

2020-05-25

bpsk_rand.m

利用数字调制bpsk估计相关参数,例如码元速率,带宽,功率谱密度等,并绘制BPSK信号的时域波形,频域波形。

2020-05-25

模块化编程 _8X8点阵(显示心hc595实现).zip

利用51单片机实现显示爱心,并且利用模块化编程和74hc595实现,节约了io口。基于proteus的汉字点阵显示设计与仿真,具有很大的使用价值

2020-01-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除