DC 逻辑综合的基本流程

基本流程
    1、Develop HDL File 
    2、Specify Libraries
        link_library 
        target_library
        symbol_library
        synthetic_library
    3、Read Design
        read_file
    4、Define Design Environment
        set_operating_conitions
        set_wire_load_model
        set_drive
        set_load
        ... ... ...
    5、Set Design Constraints 
        Design Rule Constraints
            set_max_transition
            set_max_fanout
            set_max_capacitance
        Design Optimization Constraints
            create_clock
            set_clock_uncertainty
            set_input_delay
            set_output_delay
            set_max_area
            ... ... ...
    6、Optimize the Design
        compile_ultra
    7、Analyze and Resolve Design Problems
        check_design
        report_timing
        report_constraints
        report_area
    8、Save the Design Database
        write

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值