2021-05-27

方法一:

1.Vivado中打开post routed DCP。

在这里插入图片描述

2.在Tcl console里用set_property修改Bitstream属性。不知道语法的话,可以通过GUI修改比特流配置,然后保存修改到.xdc约束文件中,再从xdc中Copy出来就可以。

例如:
set_property BITSTREAM.CONFIG.CONFIGRATE 2.7 [current_design]
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
set_property CONFIG_MODE SPIx4 [current_design]
set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR YES [current_design]

3.在Tcl console里 write_bitstream生成新的比特流文件。

write_bitstream bitstream_new_property.bit
在这里插入图片描述

Xilinx AR# 58130

https://www.xilinx.com/support/answers/58130.html

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值