IC验证学习笔记(手撕代码)-1.3实现三分频电路,50%占空比。

该文章描述了一个Verilog模块f3,其功能是将输入时钟clk进行三分频,生成clk2。通过两个计数器posedge_count和negedge_count分别在时钟的上升沿和下降沿计数,然后通过或操作产生posedge_clk2和negedge_clk2,最终将两者合并得到clk2。在testbench中对模块进行了测试。
摘要由CSDN通过智能技术生成

开始的想法错误,只使用一个clk2并不能解决问题,需要一个posedge_clk2和negedge_clk2,分别完成单独的三分频后,进行或操作给clk2;

module f3(clk,rst,clk2);
	input clk,rst;
	output clk2;
	
	reg [1:0]posedge_count;
	reg [1:0]negedge_count;
	reg posedge_clk2;
	reg negedge_clk2;
	
	always@(posedge clk or negedge rst)begin
		if(!rst||posedge_count==2'b10)
			posedge_count<=0;
		else 
			posedge_count<=posedge_count+1'b1;
	 end
	 
	always@(negedge clk or negedge rst)begin
		if(!rst||negedge_count==2'b10)
			negedge_count<=0;
		else 
			negedge_count<=negedge_count+1'b1;
	end
	
	always@(posedge clk or negedge rst)begin
		if(!rst)
			posedge_clk2<=0;
		else if(posedge_count==0||posedge_count==1)
			posedge_clk2<=!posedge_clk2;
		else 
			posedge_clk2<=posedge_clk2;
	end
	always@(negedge clk or negedge rst)begin
		if(!rst)
			negedge_clk2<=0;
		else if(negedge_count==0||negedge_count==1)
			negedge_clk2<=!negedge_clk2;
		else 
			negedge_clk2<=negedge_clk2;
	end
	
	assign clk2=negedge_clk2||posedge_clk2;
endmodule

testbench:

`timescale 1ns/1ps
module f3_tb();
	reg clk;
	reg rst;
	wire clk2;
	
	f3 u1(.clk(clk),.rst(rst),.clk2(clk2));
	always begin
		#5 clk=~clk;
	end
	initial	begin
		clk=0;
		rst=0;
	 #5 rst=1;
	 #100
	 $stop;
	end
endmodule

 

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值