数字IC手撕代码--芯源(三分频)

题目描述

用Verilog设计一个3分频器,要求50%占空比。


解题思路

设计两个三分频时钟clk1和clk2,占空比分别为2/3和2/3,一个下降沿触发,一个上升沿触发,两个时钟相与得到占空比50%的三分频。


代码


module div_3_fre(
    input   clk     ,
    input   rstn    ,
    output  clk_out
);

reg [1:0] cnt1,cnt2;
reg clk1, clk2;

always @(posedge clk)begin
    if(!rstn)begin
        clk1 <= 1'b0; 
    end
    else if(cnt1 == 2'd0 || cnt1 == 2'd2)
        clk1 <= !clk1;
end

always @(posedge clk)begin
    if(!rstn)begin
        cnt1 <= 2'd0;
    end
    else if(cnt1 <= 2'd1)begin
        cnt1 <= cnt1 + 1'b1;
    end
    else if(cnt1 == 2'd2)begin
        cnt1 <= 2'd0;
    end
end

always @(negedge clk)begin
    if(!rstn)begin
        clk2 <= 1'b0; 
    end
    else if(cnt2 == 2'd0 || cnt2 == 2'd2)
        clk2 <= !clk2;
end

always @(negedge clk)begin
    if(!rstn)begin
        cnt2 <= 2'd0;
    end
    else if(cnt2 <= 2'd1)begin
        cnt2 <= cnt2 + 1'b1;
    end
    else if(cnt2 == 2'd2)begin
        cnt2 <= 2'd0;
    end
end

assign clk_out = clk1 & clk2;

endmodule

testbench


module div_3_fre_tb();

wire clk_out;
reg clk,rstn;

initial begin
    rstn <= 1'b0;
    clk  <= 1'b0;
    #20 
    rstn <= 1'b1;
    #100
    $finish();
end

initial begin
    forever #5 clk <= !clk;
end

initial begin
    $fsdbDumpfile("div_3.fsdb");
    $fsdbDumpvars(0);
end

div_3_fre u_div_3_fre(
    .clk        (clk)       ,
    .rstn       (rstn)      ,
    .clk_out    (clk_out)
);

endmodule

波形

波形结果是一个占空比50%的三分频。

更多手撕代码题可以前往 数字IC手撕代码--题库

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

不吃葱的酸菜鱼

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值