自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(15)
  • 收藏
  • 关注

原创 Verilog :Exams/ece241 2013 q4/Design a Moore FSM/水库

【代码】Verilog :Exams/ece241 2013 q4/Design a Moore FSM/水库。

2024-03-26 00:48:31 232 1

原创 Verilog :Fsm3comb/onehot/异步复位/同步复位

【代码】Verilog :Fsm3comb/onehot。

2024-03-25 16:59:43 294

原创 Verilog :FSM2型(异步复位/同步复位)

这是一个摩尔状态机,具有两个状态、两个输入和一个输出。Fsm2 - HDL其 (01xz.net)

2024-03-25 16:35:10 182

原创 Verilog :rule110

规则110 - HDL数据 (01xz.net)

2024-03-18 15:40:13 334

原创 Verilog :Rule90

负载输入指示系统的状态应加载数据[511:0]。假设边界 (q[-1] 和 q[512]) 均为零 (off)。下表更详细地给出规则,元素下一个状态可以视作输出,输入为元素本身(中)与左右的状态。在每个时钟边沿到来时刻,元素的下一个状态为元素相邻两个元素的异或。一维序列中元素有 1开/0 关两种状态。规则90 - HDL数据 (01xz.net)Rule90 需要按照表中规则来生成一维序列。

2024-03-18 15:04:26 364

原创 Verilog :Exams/ece241 2013 q12/Shift Register

电路的行为应如下:当 ABC 为 000 时,Z=Q[0],当 ABC 为 001 时,Z=Q[1] 时,依此类推。您的电路应仅包含 8 位移位寄存器和多路复用器。(旁注:该电路称为 3 输入查找表 (LUT))。在这个问题中,您将为 8x1 存储器设计一个电路,其中对存储器的写入是通过移入位完成的,读取是“随机访问”,就像在典型的 RAM 中一样。首先,创建一个带有 8 个 D 型触发器的 8 位移位寄存器,输出依次是Q[0]...Q[7]。,输入首先会填充到 MSB(最高位)Q[0]。

2024-03-18 14:36:34 541

原创 Verilog :Exams/2014 q4b/n bit 移位寄存器

顶层模块实例化 4 个 MUXDFF 子模块。假设您将在 DE2 板上实现电路。为移位寄存器编写一个顶层模块( top_module),假设。

2024-03-18 11:48:04 342

原创 Verilog :Exams/m2014 q4k/

【代码】Verilog :Exams/m2014 q4k/

2024-03-18 10:46:55 288

原创 Verilog : Lfsr32/32bit LFSR

提示:使用向量实现,而不是例化 32 个触发器。设计一个32bit Galois LFSR。“tap”点为32,22,2,1。

2024-03-18 10:31:49 306

原创 Verilog : Mt2015 lfsr/3-bit LFSR

实现上图中的时序电路,(可以使用子模块进行构建,但顶层要命名为 top_module)。假设你要在 DE1-SoC板上实现电路,将输入端口 r 连接到SW开关,clock 端口接到按键 KEY[0], L 接到按键 KEY[1]。Q 连接到红灯 LED。

2024-03-18 00:27:00 357

原创 Verilog :Lfsr5/线性反馈移位寄存器

下图所示LFSR为在位置5和位置3包含"tap"位的5-bit最大长度LFSR。n 位的最大长度 LFSR 循环到 2n-1 状态,然后重复(永远不会达到全零状态)。如果"tap"位置经过仔细选择后,LFSR将设置为最大长度。请注意,为了保持一致性,在位置 5 绘制了 XOR 门,但其中一个 XOR 门输入为 0。带有"tap"位的位位置与输出位XOR产生下一个没有"tap"位标志的寄存器的输入。线性反馈移位寄存器(LFSR)通常带有几个XOR门来产生移位寄存器的下一状态。重置应将 LFSR 重置为 1。

2024-03-17 21:42:11 391 1

原创 Verilog :Shift18/64 位算术移位寄存器

算术右移移位寄存器中的符号位(q [63])移位,不像是逻辑右移中进行补零的操作。被移位的数字是有符号的并保留符号。设计一个64-bit带同步load的算术移位寄存器。amount控制移动方向和每次移动的次数。逻辑移位寄存器和算术左移移位寄存器没有区别。

2024-03-17 21:18:38 331

原创 Verilog :Rotate100 /100bit可左移右移移位寄存器

设计一个100bit的可左移/右移的移位寄存器,具有同步load和左/右移的功能。移位寄存器在左移或右移时,不舍弃某一bit位同时也不补0,需要循环。Rotate100 - HDL视频 (01xz.net)

2024-03-17 20:40:21 327

原创 Verilog :4-bit shift register/4bit移位寄存器

设计一个同步load,异步(asynchronous)复位,拥有使能端的4bit右移移位寄存器。如果ena和load同时为1,load有更高的优先级。Shift4 - HDL数据 (01xz.net)

2024-03-17 20:07:40 809

原创 Verilog :12-hour clock十二小时时钟

该计数器通过一个CLK进行计时,每当时钟增加(即每秒一次)时,ena 就会有一个脉冲。下图所示的时序图给出了从11:59:59 AM 到12 :00 : 00 PM的翻转行为以及同步复位和启用行为。(二进制编码十进制)构成,分别表示 小时(01~12),分钟(00~59) , 秒(00~59)。Reset信号比enable信号有更高的优先级,即使没有enable信号也可以进行复位操作。信号pm为0表示AM,为1表示PM。reset信号将时钟复位为12:00 AM。

2024-03-17 19:40:19 732 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除