Verilog :Rule90

传送门:

规则90 - HDL数据 (01xz.net)icon-default.png?t=N7T8https://hdlbits.01xz.net/wiki/Rule90题目:

Rule90 需要按照表中规则来生成一维序列。

规则很简单。一维序列中元素有 1开/0 关两种状态

在每个时钟边沿到来时刻,元素的下一个状态为元素相邻两个元素的异或。

下表更详细地给出规则,元素下一个状态可以视作输出,输入为元素本身(中)与左右的状态。

负载输入指示系统的状态应加载数据[511:0]。假设边界 (q[-1] 和 q[512]) 均为零 (off)。

以下为本人提供的代码,有更好的代码欢迎评论区提供:

module top_module(
    input clk,
    input load,
    input [511:0] data,
    output [511:0] q ); 
    always@(posedge clk)
    begin
        if(load)
            q <= data;
        else 
            q <= (q<<1)^(q>>1); 
    end
endmodule

  • 9
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值