Verilog :Fsm3comb/onehot/异步复位/同步复位

传送门:

Fsm3comb - HDLBits (01xz.net)icon-default.png?t=N7T8https://hdlbits.01xz.net/wiki/Fsm3comb

代码:

module top_module(
    input in,
    input [1:0] state,
    output [1:0] next_state,
    output out); //

    parameter A=0, B=1, C=2, D=3;

    // State transition logic: next_state = f(state, in)
	always @(*) begin
        case(state)
            A: next_state = in ? B : A;
            B: next_state = in ? B : C;
            C: next_state = in ? D : A;
            D: next_state = in ? B : C;
        endcase
    end
    // Output logic:  out = f(state) for a Moore state machine
    assign out = (state == D)?1:0;

endmodule

onehot代码:

module top_module(
    input in,
    input [3:0] state,
    output [3:0] next_state,
    output out); //

    parameter A=0, B=1, C=2, D=3;

    // State transition logic: Derive an equation for each state flip-flop.
    assign next_state[A] = (state[A]&&(~in))|(state[C]&&(~in));
    assign next_state[B] = (state[A]&&in)|(state[B]&&in)|(state[D]&&in);
    assign next_state[C] = (state[B]&&(~in))|(state[D]&&(~in));
    assign next_state[D] = state[C]&∈

    // Output logic: 
    assign out = state[D];

endmodule

异步复位代码:

module top_module(
    input clk,
    input in,
    input areset,
    output out); //
    reg [1:0] state;
    reg [1:0] next_state;
    parameter A=0, B=1, C=2, D=3;
    // State transition logic
	always @(*) begin
        case(state)
            A: next_state = in ? B : A;
            B: next_state = in ? B : C;
            C: next_state = in ? D : A;
            D: next_state = in ? B : C;
        endcase
    end
    // State flip-flops with asynchronous reset
    always @(posedge clk or posedge areset) begin
        if(areset)
            state<=A;
        else state<=next_state;
    end
    // Output logic
    assign out = (state == D)?1:0;
endmodule

同步复位:

module top_module(
    input clk,
    input in,
    input reset,
    output out); //
    reg [1:0] state;
    reg [1:0] next_state;
    parameter A=0, B=1, C=2, D=3;
    // State transition logic
	always @(*) begin
        case(state)
            A: next_state = in ? B : A;
            B: next_state = in ? B : C;
            C: next_state = in ? D : A;
            D: next_state = in ? B : C;
        endcase
    end
    // State flip-flops with asynchronous reset
    always @(posedge clk) begin
        if(reset)
            state<=A;
        else state<=next_state;
    end
    // Output logic
    assign out = (state == D)?1:0;
endmodule

  • 6
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值