Verilog :FSM2型(异步复位/同步复位)

异步复位传送门:

Fsm2 - HDL其 (01xz.net)icon-default.png?t=N7T8https://hdlbits.01xz.net/wiki/Fsm2

这是一个摩尔状态机,具有两个状态、两个输入和一个输出。实现此状态机。

本练习与FSM2S,但使用异步复位。

\

异步复位代码:

module top_module(
    input clk,
    input areset,    // Asynchronous reset to OFF
    input j,
    input k,
    output out); //  

    parameter OFF=0, ON=1; 
    reg state, next_state;

    always @(*) begin
        // State transition logic
        if(state== OFF)begin
            next_state = j?ON:OFF;
        end
        else if(state== ON)begin
            next_state = k?OFF:ON;
        end
    end

    always @(posedge clk, posedge areset) begin
        // State flip-flops with asynchronous reset
        if(areset)
            state <= OFF;
        else state <= next_state;
    end

    // Output logic
    assign out = state;

endmodule

同步复位传送门:

Fsm2s - HDL其 (01xz.net)icon-default.png?t=N7T8https://hdlbits.01xz.net/wiki/Fsm2s异步复位代码:

module top_module(
    input clk,
    input reset,    // Synchronous reset to OFF
    input j,
    input k,
    output out); //  

    parameter OFF=0, ON=1; 
    reg state, next_state;

    always @(*) begin
        // State transition logic
        if(state== OFF)begin
            next_state = j?ON:OFF;
        end
        else if(state== ON)begin
            next_state = k?OFF:ON;
        end
    end

    always @(posedge clk) begin
        // State flip-flops with asynchronous reset
        if(reset)
            state <= OFF;
        else state <= next_state;
    end

    // Output logic
    assign out = state;

endmodule

  • 5
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值