Verilog : Lfsr32/32bit LFSR

传送门:

Lfsr32 - HDLBits (01xz.net)icon-default.png?t=N7T8https://hdlbits.01xz.net/wiki/Lfsr32

题目:

设计一个32bit Galois LFSR

“tap”点为32,22,2,1。

提示:使用向量实现,而不是例化 32 个触发器。

module top_module(
    input clk,
    input reset,    // Active-high synchronous reset to 32'h1
    output [31:0] q
); 
    always@(posedge clk)
        begin 
            if(reset) 
                q[31:0]<= 32'h1;
            else begin 
                q<= {1'b0^q[0],		q[31-:9],    q[22]^q[0],		q[21-:19],		q[2]^q[0],		q[1]^q[0]};
                //	32			31-23 q[30]-q[22] 	22 	q[21] 	 3-21 q[20]-q[2]	 	2 q[1]  	  1 q[0]
            end
        end
endmodule

  • 7
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值