Verilog :4-bit shift register/4bit移位寄存器

传送门:Shift4 - HDL数据 (01xz.net)icon-default.png?t=N7T8https://hdlbits.01xz.net/wiki/Shift4

题目:

设计一个同步load,异步(asynchronous)复位,拥有使能端的4bit右移移位寄存器。

  • areset : 寄存器复位为0
  • load : 将data[3:0]输入至移位寄存器中
  • ena : 使能信号控制向右移动(q[3] 变为零,q[0] 移出并消失)
  • q : 移位寄存器的内容。

如果ena和load同时为1,load有更高的优先级。

以下为本人提供的代码,有更好的代码欢迎评论区提供:

module top_module(
    input clk,
    input areset,  // async active-high reset to zero
    input load,
    input ena,
    input [3:0] data,
    output reg [3:0] q); 
    always@(posedge clk or posedge areset)
        begin 
            if(areset)
                q[3:0] <= 4'd0;
            else if(load)
                q[3:0] <= data[3:0];
            else if(ena)
                q[3:0] <= q[3:0]>>1;
            else q <= q;
        end
    
endmodule

  • 11
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值