FPGA - 4位数值比较器电路

4位数值比较器电路

描述

某4位数值比较器的功能表如下。

请用Verilog语言采用门级描述方式,实现此4位数值比较器

输入描述:

    input        [3:0]           A       ,
    input        [3:0]           B       

输出描述:

    output   wire            Y2    , //A>B
    output   wire            Y1    , //A=B
    output   wire            Y0      //A<B

分析:

这里要注意题目的“门级描述方式”,所以我们只能使用基本门电路:&,|,!,^,^~。

门级描述,无非就是将<,>,==三个符号用门级电路来表示,然后根据行为级描述进行替换。

        a == b  --> ~(a^b)

        a > b --> (a^b)&a

        a < b --> (a^b)&b

行为级描述就是从最高位依次比较。

对于Y1; A = B

A[3] = B[3], A[2] = B[2], A[1] = B[1], A[0] = B[0],

如何描述呢?(^异或,相同为0,不同为1

即 :

assign Y1 = ~(A[0]^B[0]) & ~(A[1]^B[1]) & ~(A[2]^B[2]) & ~(A[3]^B[3]);

所以这道题的解题代码为:

`timescale 1ns/1ns

module comparator_4(
	input	[3:0]        A   	,
	input	[3:0]		 B   	,
 
 	output	 wire		 Y2     , //A>B
	output   wire        Y1     , //A=B
    output   wire        Y0       //A<B
);
	assign Y1 = ~(A[0]^B[0]) & ~(A[1]^B[1]) 
				& ~(A[2]^B[2]) & ~(A[3]^B[3]);
	assign Y2 = ((A[3]^B[3])&A[3]) 
				| ~(A[3]^B[3]) & ((A[2]^B[2])&A[2])
				| ~(A[3]^B[3]) & ~(A[2]^B[2]) & ((A[1]^B[1])&A[1])
				| ~(A[3]^B[3]) & ~(A[2]^B[2]) & ~(A[1]^B[1] )& ((A[0]^B[0])&A[0]);
	assign Y0 = ((A[3]^B[3])&B[3]) 
				| ~(A[3]^B[3]) & ((A[2]^B[2])&B[2])
				| ~(A[3]^B[3]) &  ~(A[2]^B[2]) & ((A[1]^B[1])&B[1])
				| ~(A[3]^B[3]) &  ~(A[2]^B[2]) & ~(A[1]^B[1] )& ((A[0]^B[0])&B[0]); 
endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值