HDLBits个人刷题详解合集13-Circuits-Sequential Logic-More Circuits-HDBits题目分析

Rule90

规则很简单。有一个一维单元格数组(开或关)。在每个时间步长,每个像元的下一个状态是像元的两个当前邻居的异或。下表是更详细地表达此规则的方式,其中单元格的下一个状态是其自身及其两个相邻节点的函数:

在此电路中,创建一个 512 节电池系统 (q[511:0]),每个时钟周期按一个时间步长前进。Load输入指示系统的状态应加载数据[511:0]。假设边界(q[-1] 和 q[512])均为零(关闭)。

代码如下:

module top_module(

    input clk,

    input load,

    input [511:0] data,

    output [511:0] q );

    always@(posedge clk)

        if(load)

            q <= data;

     else

            q <= {1'b0,q[511:1]} ^ {q[510:0],1'b0};//下一个元素为左右元素异或的结果

endmodule

Rule110

有一个一维单元格数组(开或关)。在每个时间步长中,每个单元格的状态都会发生变化。在规则 110 中,每个单元格的下一个状态仅取决于其自身及其两个相邻单元,如下表所示:

在此电路中,创建一个 512 节电池系统 (q[511:0]),每个时钟周期按一个时间步长前进。Load输入指示系统的状态应加载数据[511:0]。假设边界(q[-1] 和 q[512])均为零(关闭)。

代码如下:

module top_module(

    input clk,

    input load,

    input [511:0] data,

    output [511:0] q

);

    always@(posedge clk)

        if(load)

            q <= data;

     else

            q <= ~(q >> 1) & (q << 1) | q & ~(q << 1) | (q >> 1) & ~q &(q << 1);

     //将真值表中的关系用卡诺图表示出来

endmodule

Conwaylife

康威的《生命游戏》是一个二维的细胞自动机。

“游戏”在二维单元格网格上进行,其中每个单元格为1(生存)或0(死亡)。在每个时间步长,每个小区都会根据其具有的邻居数量来更改状态:

0-1个邻居:单元格变为0。

2个邻居:单元格状态不变。

3个邻居:单元格变成1。

4个以上邻居:单元格变为0。

该游戏适用于无限网格。在此电路中,我们将使用16x16的网格。为了使事情变得更有趣,我们将使用16x16的环形面,其侧面环绕在网格的另一侧。例如,角单元格(0,0)有8个邻居:(15,1),(15,0),(15,15),(0,1),(0,15),(1,1) ,(1,0)和(1,15)。 16x16网格由长度为256的矢量表示,其中16个单元格的每一行由子矢量表示:q [15:0]是第0行,q [31:16]是第1行,依此类推。(此工具接受SystemVerilog,因此你可以根据需要使用2D向量。)

load:在下一个时钟沿将数据加载到q中,以加载初始状态。

q:游戏的16x16当前状态,每个时钟周期更新一次。

游戏状态应在每个时钟周期前移一个时间步长。

代码如下:

module top_module(

    input clk,

    input load,

    input [255:0] data,

    output [255:0] q );

    

    reg [15:0] q_2d [15:0]; //2-d q

    reg [15:0] q_next [15:0]; //2-d q_next

    reg [3:0] sum;

    integer i,j;

    always@(*)begin

        for(i=0;i<16;i++)begin

            for(j=0;j<16;j++)begin

                if(i==0 && j==0)//左上角

                    sum=q_2d[15][1]+q_2d[15][0]+q_2d[15][15]+q_2d[0][1]+q_2d[0][15]+q_2d[1][0]+q_2d[1][1]+q_2d[1][15];

                else if(i==0 && j==15)//右上角

                    sum=q_2d[0][0]+q_2d[0][14]+q_2d[15][0]+q_2d[15][14]+q_2d[15][15]+q_2d[1][0]+q_2d[1][14]+q_2d[1][15];

                else if(i==15 && j==0)//左下角

                    sum=q_2d[15][1]+q_2d[15][15]+q_2d[14][0]+q_2d[14][15]+q_2d[14][1]+q_2d[0][0]+q_2d[0][1]+q_2d[0][15];

                else if(i==15 && j==15)//右下角

                    sum=q_2d[15][0]+q_2d[15][14]+q_2d[14][15]+q_2d[14][0]+q_2d[14][14]+q_2d[0][0]+q_2d[0][15]+q_2d[0][14];

                else if(i==0)//上边界

                    sum=q_2d[0][j-1]+q_2d[0][j+1]+q_2d[1][j-1]+q_2d[1][j]+q_2d[1][j+1]+q_2d[15][j-1]+q_2d[15][j]+q_2d[15][j+1];

                else if(i==15)//下边界

                    sum=q_2d[15][j-1]+q_2d[15][j+1]+q_2d[0][j-1]+q_2d[0][j]+q_2d[0][j+1]+q_2d[14][j-1]+q_2d[14][j]+q_2d[14][j+1];

                else if(j==0)//左边界

                    sum=q_2d[i][1]+q_2d[i][15]+q_2d[i-1][0]+q_2d[i-1][15]+q_2d[i-1][1]+q_2d[i+1][0]+q_2d[i+1][1]+q_2d[i+1][15];

                else if(j==15)//右边界

                    sum=q_2d[i][0]+q_2d[i][14]+q_2d[i-1][0]+q_2d[i-1][14]+q_2d[i-1][15]+q_2d[i+1][0]+q_2d[i+1][14]+q_2d[i+1][15];

                else  //中间元素

                    sum=q_2d[i-1][j]+q_2d[i-1][j-1]+q_2d[i-1][j+1]+q_2d[i][j-1]+q_2d[i][j+1]+q_2d[i+1][j]+q_2d[i+1][j-1]+q_2d[i+1][j+1];


                case(sum)

                    2:q_next[i][j]=q_2d[i][j];

                    3:q_next[i][j]=1'b1;

                    default:q_next[i][j]=0;

                endcase

                //q_2d = q_next;

                
        end

    end

end



    always@(posedge clk)begin

        if(load)begin

            for(i=0;i<16;i++)begin

                for(j=0;j<16;j++)begin

                 q_2d[i][j] <=data[i*16+j];

            end

        end

    end

        else

            q_2d <= q_next;    

    end

    

    genvar m,n;

    generate

        for(m = 0; m < 16; m = m + 1) begin : line_reverse

            for(n = 0; n < 16; n = n + 1) begin : list_reverse

                assign q[m*16+n] = q_2d[m][n];

        end

       end


    endgenerate

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值