SystemVerilog应该在什么时候用 带.clone()方法的$cast?

在UVM的例子中,你会发现很多地方使用了$cast,其中一些是$cast(rhs_,rhs.clone()),而另一些则没有使用.clone()($ cast(rhs_,rhs))。那么到底什么时候应该使用.clone()方法呢?

实际用不用.clone()方法取决于你是否计划修改正在$cast的对象。

在SystemVerilog中,类变量的赋值或$cast只会将句柄复制到对象,而不是对象本身。 大多数情况下,我们创建一个对象,分配或随机化该对象中的值,然后传递句柄。 但有时我们想修改对象的本地副本,所以我们将其克隆(构造+复制=克隆)。  如果我们没有克隆对象,那么与对象具有相同句柄的其他人都会看到我们正在对它进行的修改。

可以参考如下链接加深理解:

what-is-copy-on-write


  • 11
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值