【verilog】dual_port_ram

dual_port_ram
代码大大小小还是都手撕一遍吧

//dual_port_ram
module dual_port_ram#(
	parameter WIDTH = 8,
	parameter DEPTH = 16 )(
	input wclk,
	input wenc,//写信号
	input [WIDTH-1 : 0] w_data,
	input [$log2(DEPTH)-1 : 0] w_addr,

	input rclk,
	input renc,
	input reg [$log2(DEPTH)-1 : 0] r_addr,
	output reg [WIDTH-1 : 0] r_data
	);

 reg [WIDTH-1 : 0] RAM_MEM [0 : DEPTH-1];//此存储的名字是RAM_MEM,前面是位宽,后面是深度

//写数据
always@(posedge wclk)begin
	if(wenc)
		RAM_MEM[w_addr] <= w_data;
end

//读数据
always@(posedge rclk)begin
	if(renc)
		r_data <= RAM_MEM[r_addr];
end

endmodule

如果加上异步复位信号,可以加上rst_n信号,复位时初始化RAM_MEM

integer i;
    for(i=0; i <DEPTH ; i = i + 1) begin
        RAM_MEM[i] = 8'h00;
    end
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值