深度详解简单双口RAM(Simple Dual Port RAM)和真双口RAM(True Dual Port RAM)的区别

在vivado的Block Memory Generator中,有两种双口RAM,分别是简单双口RAM(Simple Dual Port RAM)和真双口RAM(True Dual Port RAM)。
在这里插入图片描述
“单口”指的是1个读写数据的接口BRAM_PORTA,可以用于写入,也可以用于读出。
“双口”指的是2个读写数据的接口BRAM_PORTA和BRAM_PORTB。
在这里插入图片描述
对于简单双口RAM,A口只能用于写入,B口只能用于读出。
在这里插入图片描述

对于真双口RAM,A口可以用于写入与读出,B口也可以用于写入和读出。
在这里插入图片描述

相同数据位宽和相同深度,真双口RAM使用的资源是简单双口RAM的2倍。
例如A口4096宽,64深,B口128宽,2048深,简单双口RAM使用64个36K BRAM资源。
在这里插入图片描述

例如A口4096宽,64深,B口128宽,2048深,使用128个36K BRAM资源。
在这里插入图片描述

  • 5
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
双口RAM是一种具有两个独立读写地址空间的RAM IP核,它在FPGA程序设计中提供了最大的设计空间和灵活性。然而,由于其灵活性和自由度较高,实际上在工程项目中使用频率相对较低。这是因为在设计程序过程中,用户很难处理两个独立读写端口之间不可避免的读写冲突。一些FPGA工程师可能会使用复杂的读写状态机来规避读写冲突,但大多数人更倾向于简单的设计方式。在大多数情况下,简单就是最好的,既能保证设计效果,又兼顾代码的易读性和实用性。因此,选择使用IP核是比较可靠和成熟的方法。在IP核的定制中,可以选择是否寄存原语输出和IP输出。选择寄存会导致输出延迟一拍,选择同时寄存原语和IP输出会延迟两拍。在设计中,选择了IP核寄存,并且由于输出固有的一拍延迟,所以总共延迟两拍。RAM是随机存取存储器的缩写,是与CPU直接交换数据的内部存储器。在Quartus II中,生成RAM IP核时有两种可选:单口RAM双口RAM双口RAM又分为简单双口RAM双口RAM简单双口RAM有一个读端口和一个写端口,写端口只能写不能读,读端口只能读不能写。双口RAM有两个读/写端口,可以同时进行读写操作。 #### 引用[.reference_title] - *1* [FPGARAM详解双口RAM的使用](https://blog.csdn.net/wandou0511/article/details/123262321)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [FPGA设计心得(1)双口RAM使用及其仿问题记录](https://blog.csdn.net/Reborn_Lee/article/details/106000966)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [【小月电子】ALTERA FPGA开发板系统学习教程-LESSON14 IPCORE之双口RAM详细教程](https://blog.csdn.net/Moon_3181961725/article/details/126693294)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值